Electronica digital unidad 1

Post on 12-Jun-2015

929 views 4 download

Transcript of Electronica digital unidad 1

04/13/2023 M. C. JAIME ALVARADO M. 1

ELECTRONICA DIGITAL

UNIDAD I

1. Códigos y sistemasnuméricos binarios

1.1 Electrónica analógica vs Electrónica digital

1.2 Introducción a los niveles del diseño digital.

1.3 Sistemas numéricos

1.3.1 Binario, octal y hexadecimal

1.3.2 Conversiones entre sistemas numéricos

1.3.3 Operaciones básicas en binario

1.3.3.1 Números negativos, complemento a 2

1.3.3.2 Suma

1.3.3.3 Resta

1.3.3.4 Multiplicación

1.3.3.5 División

1.3.4 Códigos (ASCII, BCD, GRAY)

04/13/2023 M. C. JAIME ALVARADO M. 2

Definición de Electrónica

“Electrónica es la rama de la Ciencia y la Tecnología que se ocupa del estudio de las leyes que rigen el tránsito controlado de electrones a través del vacío, de gases o de semiconductores, así como del estudio y desarrollo de los dispositivos en los que se produce este movimiento controlado y de las aplicaciones que de ello se deriven”

04/13/2023 M. C. JAIME ALVARADO M. 3

04/13/2023 M. C. JAIME ALVARADO M. 4

1.1 ELECTRONICA ANALOGICA VS. ELECTRONICA DIGITAL

04/13/2023 M. C. JAIME ALVARADO M. 5

1-1 REPRESENTACIONES NUMÉRICAS

En la ciencia y la tecnología constantemente se manejan cantidades.

Las cantidades se miden, monitorean, registran, manipulan aritméticamente…

Existen dos maneras de representar el valor numérico de las cantidades:

1. Analógica.

2. Digital.

04/13/2023 M. C. JAIME ALVARADO M. 6

Representaciones analógicas.

En la representación analógica, una cantidad se representa con un voltaje, corriente o movimiento de un indicador o medidor que es proporcional al valor de esa cantidad.

04/13/2023 M. C. JAIME ALVARADO M. 7

El velocímetro de un automóvil, en el cual la deflexión de la aguja es proporcional a la velocidad a la que se desplaza el auto.

04/13/2023 M. C. JAIME ALVARADO M. 8

04/13/2023 M. C. JAIME ALVARADO M. 9

La flexión de la banda metálica es proporcional a la temperatura del cuarto.

A medida que la temperatura varía gradualmente, la curvatura de la banda cambia en forma proporcional.

El micrófono de audio

En este dispositivo se genera un voltaje de salida en proporción con la amplitud de las ondas sonoras que chocan con el micrófono.

Las variaciones en el voltaje de salida siguen las mismas variaciones del sonido de entrada.

04/13/2023 M. C. JAIME ALVARADO M. 10

Una señal analógica varía continuamente.

04/13/2023 M. C. JAIME ALVARADO M. 11

La señal de voltaje es proporcional al nivel de combustible en el tanque.

Se dice que el arreglo es un sistema analógico porque la señal de salida (voltaje variable) es una copia del parámetro de salida real (nivel de combustible). El voltaje es análogo al nivel.

04/13/2023 M. C. JAIME ALVARADO M. 12

Característica importante de las cantidades analógicas

Pueden variar gradualmente sobre un intervalo continuo de valores.

La velocidad del automóvil puede tener un valor entre 0 y 100 km/h.

La salida del micrófono podría encontrarse en cualquier nivel dentro de un rango de 0 a 10 mV.

04/13/2023 M. C. JAIME ALVARADO M. 13

REPRESENTACIONES DIGITALES

En la representación digital las cantidades no se representan por valores proporcionales, sino por símbolos denominados dígitos.

04/13/2023 M. C. JAIME ALVARADO M. 14

Considere el reloj digital. Como sabemos, la hora varía de forma continua, pero la lectura del reloj no cambia continuamente, lo hace en etapas de uno por minuto (o por segundo).

La representación digital de la hora del día varía en etapas discretas, comparada con la representación analógica de la hora que da un reloj de pulso.

04/13/2023 M. C. JAIME ALVARADO M. 15

04/13/2023 M. C. JAIME ALVARADO M. 16

La diferencia entre cantidades analógicas y digitales es:

Analógico = Continuo

Digital=Discreto (por pasos)

04/13/2023 M. C. JAIME ALVARADO M. 17

Debido a la naturaleza discreta de las representaciones digitales, no existe ambigüedad cuando se lee el valor de una cantidad digital, mientras que el valor de una cantidad analógica siempre se presta a la interpretación.

04/13/2023 M. C. JAIME ALVARADO M. 18

04/13/2023 M. C. JAIME ALVARADO M. 19

04/13/2023 M. C. JAIME ALVARADO M. 20

04/13/2023 M. C. JAIME ALVARADO M. 21

1.2 SISTEMAS DIGITALES Y ANÁLOGICOS

04/13/2023 M. C. JAIME ALVARADO M. 22

SISTEMAS DIGITALES

Un sistema digital es una combinación de dispositivos que manipulan cantidades físicas o información representada en forma digital y por lo tanto solo toman valores discretos.

04/13/2023 M. C. JAIME ALVARADO M. 23

En el sistema TTL, entre 0 V (voltios) y 0,8 V se habla del bit 0, mientras que el 1 estaía comprendido entre 2 V y 5 V.

En el sistema CMOS se procura reducir la cantidad de voltaje necesario: al principio, en 0,07 μm de intervalo, el voltaje necesario era igual que en el sistema TTL; en 2001, con 0,15 μm, el 1 se comprendía entre 1,2 V y 1,5 V; en 2006, con intervalos de 0,1 μm, el 1 pasó a establecerse entre 0,9 V y 1,2 V.

04/13/2023 M. C. JAIME ALVARADO M. 24

Ejemplos

04/13/2023 M. C. JAIME ALVARADO M. 25

SISTEMAS ANÁLOGICOS

Contiene dispositivos que manipulan cantidades físicas representadas en forma analógica.

Por ejemplo, en un equipo de audio la señal de salida para una bocina puede tener cualquier valor entre cero y su límite máximo.

04/13/2023 M. C. JAIME ALVARADO M. 26

Ejemplos

04/13/2023 M. C. JAIME ALVARADO M. 27

Ventajas de las técnicas digitales

Facilidad de diseño.

Facilidad para almacenar información.

Mayor exactitud y precisión.

Programación de la operación.

Los circuitos digitales se afectan menos por el ruido.

Mayor integración de circuitos digitales en CI.

04/13/2023 M. C. JAIME ALVARADO M. 28

Limitación de las técnicas digitales

El mundo real es completamente analógico.

04/13/2023 M. C. JAIME ALVARADO M. 29

¿Cómo aprovechar las ventajas de los sistemas digitales?

Para aprovechar las técnicas digitales cuando se tienen entradas y salidas analógicas deben seguirse 3 pasos:

1. Convertir las entradas analógicas del “mundo real” a la forma digital.

2. Procesar (realizar operaciones con) la información digital.

3. Convertir las salidas digitales a la forma analógica del mundo real.

04/13/2023 M. C. JAIME ALVARADO M. 30

TIPOS DE OSCILOSCOPIOS

Los osciloscopios pueden ser analógicos o digitales, representan exactamente la misma señal pero la procesan de forma totalmente distinta.

04/13/2023 M. C. JAIME ALVARADO M. 31

En el osciloscopio analógico la señal que se desea medir se utiliza para desviar un haz de electrones que al proyectarse sobre la pantalla de tubo va trazando la señal deseada.

En la siguiente imagen se puede ver un esquema de su funcionamiento.

04/13/2023 M. C. JAIME ALVARADO M. 32

Por el contrario, en el osciloscopio digital la señal es muestreada utilizando un conversor analógico/digital y una determinada frecuencia de muestreo que definimos con la base de tiempos. Con los datos en forma de ceros y unos la señal puede ser representada en pantalla, almacenada o enviada a un PC para su posterior análisis.

04/13/2023 M. C. JAIME ALVARADO M. 33

04/13/2023 M. C. JAIME ALVARADO M. 34

04/13/2023 M. C. JAIME ALVARADO M. 35

1.2 INTRODUCCIÓN A LOS NIVELES DE DISEÑO DIGITAL

04/13/2023 M. C. JAIME ALVARADO M. 36

1. El proceso de miniaturización

El proceso de miniaturización de los sistemas electrónicos comenzó con la interconexión de elementos discretos como resistencias, capacitores y bobinas.

M. C. JAIME ALVARADO M.

3. El transistorMás tarde, el desarrollo del transistor permitió en 1960 la fabricación del primer circuito integrado monolítico. Este integra cientos de transistores, resistencias, diodos y capacitores, todos fabricados sobre una pastilla de silicio.

M. C. JAIME ALVARADO M.

4. ¿Qué es un ASIC?

Un Aplication Specific Integrate Circuit o circuito integrado de aplicación específica es un circuito integrado configurable que ha sido diseñado para un propósito u aplicación específica para un producto electrónico específico.

Los ASIC modernos a menudo incluyen otros elementos prediseñados tales como:

Procesadores de 32-bit.

Bloques de memoria RAM, ROM, EEPROM y memoria flash.

DSP.

Amplificadores analógicos.

Este tipo de ASIC frecuentemente es llamado Sistema en un Chip, o SoC por sus siglas en inglés.

04/13/2023 M. C. JAIME ALVARADO M. 40

A nivel de ASIC los desarrollos full y semi custom ofrecen grandes ventajas en sistemas que emplean circuitos diseñados para una aplicación en particular.

Full-custom

Total libertad de diseño, pero el desarrollo requiere todas lasetapas del proceso de fabricación: preparación de ia oblea o base, crecimiento epitaxiai, difusión de impurezas, implantación de iones, oxidación, fotolitografía, metalización y limpieza química .

Semi-custom

No se trabaja con alguna estructura fija prefabricada en particular, pero sí con bibliotecas de celdas y módulos precaracterizados y específicos para cada tarea.

04/13/2023 M. C. JAIME ALVARADO M. 41

4. ¿Qué es un ASIC?

Sin embargo, el desarrollo de nuevos productos requiere bastante tiempo, por lo cual sólo se emplea cuando se necesita un alto volumen de producción.

04/13/2023 M. C. JAIME ALVARADO M. 42

4. ¿Qué es un ASIC?

Una forma más rápida y directa de integrar aplicaciones es mediante la lógica programable, la cual permite independizar el proceso de fabricación del proceso de diseño fuera de la fábrica de semiconductores.

M. C. JAIME ALVARADO M.

CPLD Y FPGA

Los FPGA (arreglos de compuertas programables en campo) y CPLD (dispositivos lógicos programables compiejos) ofrecen las mismas ventajas de un ASIC, sólo que a un menor costoCon la ventaja de que ambos son circuitos reprogramables, en los cuales es posible modificar o borrar una función programada sin alterar el funcionamiento del circuito.

APLICACIONES:

PROCESAMIENTO DE SEÑALESAudio

Video

Imágenes

Redes Neuronales

Algoritmos genéticos

ComunicacionesM. C. JAIME ALVARADO M.

CPLD Y FPGA

Aplicaciones que requieren procesamiento o control de señales a alta velocidad y con alto contenido de paralelismo en donde la velocidad de operación del microcontrolador no puede competir.

M. C. JAIME ALVARADO M.

CPLD Y FPGA

VHDLARQUITECTURA

FUNCIONAL

Describa mediante declaraciones del tipo if-then-else el funcionamiento de la compuerta OR.

library ieee;use ieee.std_logic_1164.allentity com_or is port (a, b: in std_logic;

f1: out std_logic);end com_or;

architecture funcional of com_or isbeginprocess (a,b) begin

if (a=0 and b=0) thenf1 <= ‘0’;

elsef1 <= ‘1’;

end if;end process;end funcional;

1.3 SISTEMAS NÚMERICOS1.3.1 Sistemas binario octal y hexadecimal 1.3.2 Conversiones entre sistemas numéricos

EL SISTEMA DE NUMERACIÓN DECIMAL

04/13/2023 M. C. JAIME ALVARADO M. 52

También llamado sistema de numeración Base 10, utiliza diez dígitos para representar cualquier cifra. Ellos son:

0, 1, 2, 3, 4, 5, 6, 7, 8, 9

Combinando estos dígitos, podemos construir cualquier número.

04/13/2023 M. C. JAIME ALVARADO M. 53

Sistema de numeración decimal

Ejemplo

El número 348 es un dato representado en sistema de numeración decimal.

Se construye de la siguiente forma:

Centena Decena Unidad

Sistema de numeración decimal

3 x 102 + 4 x 101 8 x 100 = 348+ 300 40 8+ + =

3 4 8

¿Por qué no utilizar el sistema decimal?

Resulta muy difícil diseñar un equipo electrónico que pueda funcionar con 10 diferentes niveles de voltaje para representar los dígitos del 0 al 9.

04/13/2023 M. C. JAIME ALVARADO M. 55

EL SISTEMA DE NUMERACIÓN BINARIO

04/13/2023 M. C. JAIME ALVARADO M. 56

¿Qué es el bit?

En el sistema binario, al término dígito binario se abrevia como bit (binary digit).

04/13/2023 M. C. JAIME ALVARADO M. 57

Sistema Binario (Base 2)

En el sistema binario solo hay dos símbolos o posibles valores de dígitos, 0 y 1.

Es un sistema de numeración en el que la base es 2 y con el que se puede representar cualquier cantidad

04/13/2023 M. C. JAIME ALVARADO M. 58

CONVERSIÓN BINARIO A DECIMAL

04/13/2023 M. C. JAIME ALVARADO M. 59

Sistema Binario

Conversión de binario a decimal:

04/13/2023 M. C. JAIME ALVARADO M. 60

… 24

x23

x22

x21

x20

x• 2-1

x2-2

x…

Punto del número binario

… 16 8 4 2 1 • 0.5 0.25 0.125

Multiplicandoy sumando

Sumando

Ejemplo

Convertir 10110.1102 a decimal.

04/13/2023 M. C. JAIME ALVARADO M. 61

1 0 1 1 0 • 1 1 0

… 24

x23

x22

x21

x20

x• 2-1

x2-2

x…Multiplicando

y sumando

0x23 + 1x24 + 1x22 + 1x21 + 0x20 + 1x2-1 + 1x2-2 + 0x2-3

16 + 0 + 4 + 2 + 0 + 0.5 + 0.25 + 0.125

22.875 10

Ejemplo

Convertir 11101.1012 a decimal.

04/13/2023 M. C. JAIME ALVARADO M. 62

1 1 1 0 1 • 1 0 1

8 + 16 + 4 + 1 + 0.5 + 0.125

29.625 10

… 16 8 4 2 1 • 0.5 0.25 0.125Sumando

MSB-LSBEl bit más significativo (MSB) es aquel que se ubica más a la izquierda (el que tiene el mayor valor).

El bit menos significativo (LSB) es aquel que esta más a la derecha y que posee el menor valor.

04/13/2023 63

1 1 1 0 1 • 1 0 1

8 + 16 + 4 + 1 + 0.5 + 0.12529.625 10

… 16 8 4 2 1 • 0.5 0.25 0.125

… 24

x23

x22

x21

x20

x• 2-1

x2-2

x…

MSB LSB

Secuencia de números binarios

23=8 22=4 21=2 20=1

0 0 0 0

0 0 0 1

0 0

0 0 1

1

0 1 0

0 1

0 1 1

1

1 0 0

1 0

1 0 1

1

1 1 0

1 1

1 1 1

0

0

0

0 0 0

0

00

0

1

1

1

1

1

1

1

1

1

1

0

1

1 0

Decimal

0

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

¿Cuál es el número más grande que se puede representar con 16 bits?

Para resolver esta pregunta se emplea:

2N-1

En donde N es el número de bits.

Entonces,

04/13/2023 65

Número mayor representadocon 16 bits

= 216- 1

= 2N - 1

= 65535

¿Qué hora es?

04/13/2023 M. C. JAIME ALVARADO M. 66

CONVERSIÓN DECIMAL A BINARIO

04/13/2023 M. C. JAIME ALVARADO M. 67

Conversión decimal a binario

Convertir 28110 a binario

04/13/2023 M. C. JAIME ALVARADO M. 68

División Cociente Residuo Número binario

281/2 140 1 LSB = 1

140/2 70 0 0

70/2 35 0 0

35/2 17 1 1

17/2 8 1 1

8/2 4 0 0

4/2 2 0 0

2/2 1 0 0

1/2 0 1 MSB = 1

MÉTODO DE DIVISIÓN REPETIDA

Representación de 28110 en binario (base 2) :

1000110012

Conversión decimal a binario

Convertir 23310 a binario

04/13/2023 M. C. JAIME ALVARADO M. 69

División Resultadoexacto Residuo Número

binario

233/2 116.5 1 LSB = 1

116/2 58 0 0

58/2 29 0 0

29/2 14.5 1 1

14/2 7 0 0

7/2 3.5 1 1

3/2 1.5 1 1

1/2 0.5 1 MSB = 1

MÉTODO DE DIVISIÓN REPETIDA CON CALCULADORA

Representación de 23310 en binario (base 2) :

111010012

Ejercicios (Tocci):

04/13/2023 M. C. JAIME ALVARADO M. 70

04/13/2023 M. C. JAIME ALVARADO M. 71

04/13/2023 M. C. JAIME ALVARADO M. 72

SISTEMA DE NUMERACIÓN OCTAL

04/13/2023 M. C. JAIME ALVARADO M. 73

Sistema de numeración octal

Cada dígito de un número octal tiene 8 posibles valores: 0,1,2,3,4,5,6,7.

La conversión de octal a decimal se realiza como se muestra a continuación:

04/13/2023 M. C. JAIME ALVARADO M. 74

… 84

x83

x82

x81

x80

x• 8-1

x8-2

x…

Punto del número octal

Multiplicandoy sumando

Conversión de octal a decimal

Convertir 7056.378 a decimal.

04/13/2023 M. C. JAIME ALVARADO M. 75

7 0 5 6 • 3 7

… 84

x83

x82

x81

x80

x• 8-1

x8-2

x…Multiplicando

y sumando

7 x 83 + 0 x 82 + 5 x 81 + 6 x 80 + 3 x 8-1 + 7 x 8-2

3584 + 0 + 40 + 6 + 0.375 + 0.1093

3630.484 10

Conversión decimal a octal

Convertir 3233110 a octal

04/13/2023 M. C. JAIME ALVARADO M. 76

División Cociente Residuo Número octal

32331/8 4041 3 LSB = 3

4041/8 505 1 1

505/8 63 1 1

63/8 7 7 7

7/8 0 7 MSB =7

MÉTODO DE DIVISIÓN REPETIDA

Representación de 3233110 en octal (base 8) :

771138

Conversión decimal a octal

Convertir 3233110 a octal

04/13/2023 M. C. JAIME ALVARADO M. 77

División Cociente Residuo Número octal

32331/8 4041 3 LSB = 3

4041/8 505 1 1

505/8 63 1 1

63/8 7 7 7

7/8 0 7 MSB =7

MÉTODO DE DIVISIÓN REPETIDA

Representación de 3233110 en octal (base 8) :

771138

Conversión decimal a octal

Convertir 2536610 a octal

04/13/2023 M. C. JAIME ALVARADO M. 78

División Resultado Residuo Número octal

25366/8 3170.75 .75x8 LSB = 6

3170/8 396.25 0.25x8 2

396/8 49.5 0.5x8 4

49/8 6.125 0.125x8 1

6/8 0 6 MSB =6

MÉTODO DE DIVISIÓN REPETIDA CON CALCULADORA

Representación de 2536610 en octal (base 8) :

614268

Conversión de octal a binario

Se lleva a cabo convirtiendo cada dígito octal en su equivalente binario de 3 bits.

04/13/2023 M. C. JAIME ALVARADO M. 79

Octal Binario

0 000

1 001

2 010

3 011

4 100

5 101

6 110

7 111

Conversión de binario a octal

Es la operación inversa del proceso anterior.

1. Los números se agrupan en conjuntos de 3 bits comenzando por el LSB.

2. Luego, cada grupo se convierte en su equivalente octal.

04/13/2023 M. C. JAIME ALVARADO M. 80

Convertir 4728 a binario.

Convertir 0100101012 a octal.

Ejemplos

04/13/2023 M. C. JAIME ALVARADO M. 81

Conteo en octalCon N dígitos octales se puede contar de 0 a 8N-1 lo que da un total de diferentes 8N conteos.

¿Hasta qué valor se puede contar con 3 dígitos octales?

Desde 0008 a 7778.

¿Cuántos números octales diferentes se pueden representar con 3 dígitos?

Un total de 83 = 51210 diferentes

04/13/2023 M. C. JAIME ALVARADO M. 82

Utilidad del sistema octal

Cuando se trabaja con una gran cantidad de números binarios de muchos bits, es más conveniente escribirlos en octal y no en binario.

Sin embargo, no se debe olvidar que los circuitos y sistemas digitales trabajan estrictamente en binario.

04/13/2023 M. C. JAIME ALVARADO M. 83

Conversión decimal a binario

Convertir 273510 a binario

04/13/2023 M. C. JAIME ALVARADO M. 84

División Resultado Residuo Dígito octal

2735/8 341 0.875x8 LSD = 7

341/8 42 0.625x8 5

42/8 5 0.25x8 2

5/8 0 5 MSD = 5

MÉTODO DE DIVISIÓN REPETIDA

Representación de 273510 en octal: 5 2 5 78

En binario 1112101 101010

04/13/2023 M. C. JAIME ALVARADO M. 85

04/13/2023 M. C. JAIME ALVARADO M. 86

04/13/2023 M. C. JAIME ALVARADO M. 87

SISTEMA DE NUMERACIÓN HEXADECIMAL

04/13/2023 M. C. JAIME ALVARADO M. 88

Sistema de numeración hexadecimal

Base 16.16 símbolos posibles.

0 al 9, A, B, C, D, E, y F.

El sistema hexadecimal utiliza grupos de 4 bits.

04/13/2023 M. C. JAIME ALVARADO M. 89

Hexadecimal Decimal Binario0 0 0000 1 1 00012 2 00103 3 00114 4 01005 5 01016 6 01107 7 01118 8 10009 9 1001A 10 1010B 11 1011C 12 1100D 13 1101E 14 1110F 15 1111

Conteo hexadecimal

Cada posición de los dígitos se puede incrementar en 1 unidad de 0 a F.

Cuando se alcanza el valor F, se vuelve a poner en 0 y se incrementa en la siguiente posición.

04/13/2023 M. C. JAIME ALVARADO M. 90

38

3C

40

39

3A

3B

3D

3E

41

3F

6F8

6FC

700

6F9

6FA

6FB

6FD

6FE

701

6FF

Conversión de hexadecimal a decimal

Convertir 357A16 a decimal.

04/13/2023 M. C. JAIME ALVARADO M. 91

3 5 7 A

163

x162

x161

x160

xMultiplicandoy sumando

3 x 163 + 5 x 162 + 7 x 161 + A x 160

12288 + 1280 + 112 + 10

13690 10

3 x 163 + 5 x 162 + 7 x 161 + 10 x 160

Conversión decimal a hexadecimal y binario

Convertir 4497810 a hexadecimal y binario.

04/13/2023 M. C. JAIME ALVARADO M. 92

División Cociente Residuo Número hexadecimal

44978/16 2811 2 LSB = 2

2811/16 175 11 B

175/16 10 15 F

10/16 0 10 MSB = A

MÉTODO DE DIVISIÓN REPETIDA

Representación de 4497810 en hex: A F B 216

En binario: 001021010 10111111

Conversión decimal a hexadecimal y binario

Convertir 1273510 a hexadecimal y binario.

04/13/2023 M. C. JAIME ALVARADO M. 93

División Resultado Residuo Número binario

12735/16 795.9375 0.9375x16 LSB = 15 = F

795/16 49.6875 0.6875x16 11 = B

49/16 3.0625 0.0625x16 1

3/16 0.1875 0.1875X16 MSB = 3

MÉTODO DE DIVISIÓN REPETIDA CON CALCULADORA

Representación de 1273510 en hex: 3 1 B F16

En binario: 111120011 10110001

Conversión de binario a hexadecimal

El número binario se agrupa en conjuntos de 4 bits y cada grupo se convierte a su dígito hexadecimal equivalente.

Convierta 10110111111111012 a hexadecimal.

04/13/2023 M. C. JAIME ALVARADO M. 94

Binario, grupos de 4 bits: 110121011 11110111

B

Decimal 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15Hexadecimal 0 1 2 3 4 5 6 7 8 9 A B C D E F

Binary 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1010 1011 1100 1101 1110 1111

En hexadecimal: 7 F D16

Conversión hexadecimal a octal

Convierta 31BF en octal.

Solución.

Es más fácil convertir primero a binario y luego a octal.

04/13/2023 M. C. JAIME ALVARADO M. 95

31BF en hex: 3 1 B F16

En binario: 111120011 10110001

En grupos de 3 bits: 0 000011 11111000 111

0En octal: 6 7 7830

04/13/2023 M. C. JAIME ALVARADO M. 96

04/13/2023 M. C. JAIME ALVARADO M. 97

1.3 SISTEMAS NÚMERICOS1.3.3 Operaciones básicas en binario

1.3.3.1 Números negativos, complemento a 2

1.3.3.2 Suma

1.3.3.3 Resta

1.3.3.4 Multiplicación

1.3.3.5 División

04/13/2023 M. C. JAIME ALVARADO M. 99

Sistemas Digitales 100Manrique © 2005

Aritmética binaria

Las reglas de la aritmética binaria son similares a las de la aritmética decimal.

Los conceptos de acarreo y préstamo también se aplican a la aritmética binaria.

Suma binaria

Para realizar una suma binaria hay que tener en cuenta la siguiente tabla:

04/13/2023 M. C. JAIME ALVARADO M. 101

Realizar la siguiente suma binaria.

1 1 1 1 11 0 0 0 1 1 1 1

+ 0 0 0 1 1 0 0 11 0 1 0 1 0 0 0

143 + 25 168

ACARREO

Ejercicio:

Realiza las siguientes sumas de números binarios:

111011 + 110

111110111 + 111001

10111 + 11011 + 10111

04/13/2023 M. C. JAIME ALVARADO M. 103

Resta binaria

Si se quiere realizar una resta binaria se debe considerar la siguiente tabla:

04/13/2023 M. C. JAIME ALVARADO M. 104

Realizar la siguiente resta binaria.

-1 -1 -1 -11 0 1 0 0 1

- 0 0 1 0 1 10 1 1 1 1 0

41 - 11 30

PRÉSTAMO10

Ejercicio: Realizar la siguiente resta binaria.

1 1 1 0 0 0- 0 1 0 0 1 1

1 0 0 1 0 1

56 - 37 19

PRÉSTAMO

Multiplicación binaria

Para efectuar una multiplicación binaria se tiene que tener en cuenta la siguiente tabla:

04/13/2023 M. C. JAIME ALVARADO M. 107

Multiplicación binaria

Ejemplo: Para realizar el producto de los números binarios 101012 y 1012 hay que realizar los siguientes cálculos:

04/13/2023 M. C. JAIME ALVARADO M. 108

Multiplicación binaria

Ejercicio: Realizar el producto de los números binarios siguientes.

04/13/2023 M. C. JAIME ALVARADO M. 109

1 1 1 1 1 00000 00 0 00 1

División binariaEn cuanto a las divisiones binarias, las reglas también son las mismas que en el Sistema Decimal, con la ventaja de que en binario sólo se usan dos dígitos.

Ejemplo: Para dividir 1100102 entre 102 los cálculos son:

04/13/2023 M. C. JAIME ALVARADO M. 110

1 1 0 0 1 01 0

-1 0

0

1

-1 0

1 0

1

-1 000 1 0

00 1

Resolver:

Solución:

04/13/2023 M. C. JAIME ALVARADO M. 111

1 0 1 0 1 01 1 1

112

¿Qué es un complemento?

Cuando un dígito (excepto el 0) se sustrae de la base del sistema numérico en el cual se expresa, el resultado es el complemento con respecto a la base.

Por ejemplo, en base 10, el complemento de 3 es:

7.

El mismo concepto se puede aplicar a los números binarios.

Complemento a 1

El complemento a 1 de un entero binario es justamente ese entero binario con cada bit 1 sustituido por un 0 y cada bit 0 reemplazado por un 1.

Ejemplo:

Determine el complemento a 1 del número binario 101011.

1 0 1 0 1 10 1 0 1 0 0

Complemento a 2El complemento a 2 de un entero binario consiste en sumar 1 al complemento a 1 del entero binario

Ejemplo:

Calcular el complemento a 2 de 101011.

Anteriormente se calculó que el complemento a 1 del número binario 101011 era 010100.

0 1 0 1 0 0+ 1

0 1 0 1 0 1

Ejemplo• Calcular el

complemento a 2 de 1100002

Calcular el complemento a 1:

Calcular el complemento a 2:

04/13/2023 M. C. JAIME ALVARADO M. 115

1 1 0 0 0 00 0 1 1 1 1

1 1 1 10 1 1 1 1

+ 11 0 0 0 0

Otro método para calcular el complemento a 2

El complemento a dos de un número N, compuesto por n bits, se define como:

C2N = 2n – N

Veamos un ejemplo: tomemos el número N = 1100002, que tiene 6 bits, y calculemos su complemento a dos:

N = 4810    n = 6    26 = 64 

C2N = 64 – 48= 1610

En binario 1610= 100002

Ejercicio:

Calcula el complemento a dos de los siguientes números:

11001, 10001011, 110011010

04/13/2023 M. C. JAIME ALVARADO M. 116

Restar en binario con el complemento a 2

La resta en binario presenta la dificultad de que se debe considerar el préstamo en la resta siguiente, pero esta misma resta se puede hacer como una suma.

La resta binaria de dos números puede obtenerse sumando al minuendo el complemento a dos del sustraendo.

Si en el resultado de la suma sobra un bit, que se desborda por la izquierda, se desprecia porque el número resultante no puede ser más largo que el minuendo.

Ejemplo:

Calcular la siguiente resta, 91 – 46 = 45, en binario (1011011 – 0101110).

04/13/2023 M. C. JAIME ALVARADO M. 117

Ejemplo• Calcular el

complemento a 2 de 01011102

Calcular el complemento a 1:

Calcular el complemento a 2:

04/13/2023 M. C. JAIME ALVARADO M. 118

0 1 0 1 1 1 01 0 1 0 0 0 1

11 0 1 0 0 0 1

+ 11 0 1 0 0 1 0

Ejemplo• Sumar el complemento

a 2 de 01011102

(1010010) a 1011011.

04/13/2023 M. C. JAIME ALVARADO M. 119

Para obtener el resultado de la resta 1011011 – 0101110.

1 1 11 0 1 1 0 1 1

+ 1 0 1 0 0 1 01 0 1 0 1 1 0 1

Overflow: desbordamiento.No se considera.

04/13/2023 M. C. JAIME ALVARADO M. 120

EjemploHagamos esta otra resta, 219 – 23 = 196, utilizando el complemento a dos:

21910 = 110110112, 2310 = 000101112

C2N = 2n – N

N = 2310    n = 8    28 = 256

C2N = 256 – 23= 23310

C223 = 11101001

El resultado de la resta será:

Y, despreciando el bit que se desborda por la izquierda, llegamos al resultado correcto:110001002 = 19610

04/13/2023 M. C. JAIME ALVARADO M. 121

1 1 1 1 1 1 11 1 0 1 1 0 1 1

+ 1 1 1 0 1 0 0 11 1 1 0 0 0 1 0 0

M. EN C. JAIME ALVARADO MUÑOZ

Resta en hexadecimal

0 1 0 0 0 0 1 1- 0 0 1 0 0 1 0 1

0 1 0 0 0 0 1 1+ 1 1 0 1 1 0 1 1

0 0 0 1 1 1 1 01 E

COMPLEMENTOA DOS

43 - 25

1.3 SISTEMAS NÚMERICOS1.3.4 Códigos (ASCII, BCD, GRAY)

CÓDIGO BCD

04/13/2023 M. C. JAIME ALVARADO M. 124

Código decimal codificado en binario.

Cada dígito de un número decimal se representa por su equivalente en binario mediante un grupo de 4 bits.

El resultado es un código llamado decimal codificado en binario (BCD).

04/13/2023 M. C. JAIME ALVARADO M. 125

EjemploRepresentar el número 837410 en BCD.

Convierta el número BCD 0110100000111001 a su equivalente decimal.

04/13/2023 M. C. JAIME ALVARADO M. 126

8 3 7 410

En BCD: 01001000 01110011

En grupos de 4 bits: 0110 00111000 1001

6En decimal: 8 3 910

Comparación de BCD y Binario

El código BCD no es un sistema de numeración posicional como el de base 2, 8, 10 ó 16.

Por ejemplo, considere el número 137 y representélo en binario y en BCD.

13710 = 100010012

137 10 =0001 0011 0111 (BCD)

04/13/2023 M. C. JAIME ALVARADO M. 127

Ventaja del código BCD

Sólo se requiere recordar los grupos de código de 4 bits para los dígitos decimales del 0 al 9.

La relativa facilidad de conversión a y desde decimal.

Esta facilidad es importante desde el punto de vista de hardware (circuitería) que se puede utilizar, ya que en un sistema digital son los circuitos lógicos los que efectúan las conversiones.

04/13/2023 M. C. JAIME ALVARADO M. 128

04/13/2023 M. C. JAIME ALVARADO M. 129

04/13/2023 M. C. JAIME ALVARADO M. 130

CÓDIGO GRAY

04/13/2023 M. C. JAIME ALVARADO M. 131

2-5 Gray Code

El código gray se emplea en aplicaciones donde los números cambian rápidamente

En el código gray, sólo un bit cambia de un valor a otro.

Ronald Tocci/Neal Widmer/Gregory MossDigital Systems: Principles and Applications, 10e

Copyright ©2007 by Pearson Education, Inc.Columbus, OH 43235

All rights reserved.

Código Gray

Binary Gray Code000 000001 001010 011011 010100 110101 111110 101111 100

Ronald Tocci/Neal Widmer/Gregory MossDigital Systems: Principles and Applications, 10e

Copyright ©2007 by Pearson Education, Inc.Columbus, OH 43235

All rights reserved.

CÓDIGOS ALFANUMÉRICOS

04/13/2023 M. C. JAIME ALVARADO M. 134

Un sistema digital debe manejar información no numérica.

Es decir, debe reconocer códigos que representan letras de alfabeto, signos de puntuación y otros caracteres especiales como <RETURN> (retorno de carro) y <LINEFEED> (cambio de línea).

04/13/2023 M. C. JAIME ALVARADO M. 135

Código ASCIIAmerican Standard Code for Information Interchange

Emplea 7 bits, por lo tanto tiene 27 = 128 grupos de posibles códigos.

04/13/2023 M. C. JAIME ALVARADO M. 136

Ejemplo

El siguiente es un mensaje codificado en ASCII ¿Cuál es el mensaje?

100 0001 101 0101

101 1000 100 1001

100 1100 100 1001

100 1111

Solución convierta cada código de 7 bits en hexadecimal.

41 55 58 49 4C 49 4F

A U X I L I O

04/13/2023 M. C. JAIME ALVARADO M. 137

04/13/2023 M. C. JAIME ALVARADO M. 138

Todo juntoDecimal Binario Hexadecimal BCD Gray

0 0 0 0 01 1 1 0001 00012 10 2 0010 00113 11 3 0011 00104 100 4 0100 01105 101 5 0101 01116 110 6 0110 01017 111 7 0111 01008 1000 8 1000 11009 1001 9 1001 110110 1010 A 0001 0000 111111 1011 B 0001 0001 111012 1100 C 0001 0010 101013 1101 D 0001 0011 101114 1110 E 0001 0100 100115 1111 F 0001 0101 1000

Ronald Tocci/Neal Widmer/Gregory MossDigital Systems: Principles and Applications, 10e

Copyright ©2007 by Pearson Education, Inc.Columbus, OH 43235

All rights reserved.

Byte, Nibble, y Word

1 byte = 8 bits

1 nibble = 4 bits

1 word = depende de la capacidad del sistema.

1 Word en un sistema pequeño puede ser de un byte (8 bits)

1 Word en una PC es 8 bytes (64 bits)

Ronald Tocci/Neal Widmer/Gregory MossDigital Systems: Principles and Applications, 10e

Copyright ©2007 by Pearson Education, Inc.Columbus, OH 43235

All rights reserved.

04/13/2023 M. C. JAIME ALVARADO M. 141