Electronica Digital

46
  Electrónica digital La electrónica digital es una parte de la electrónica que se encarga de sistemas electrónicos en los cuales la información está codificada en dos únicos estados. A dichos estados se les puede llamar "verdadero" o "falso", o más comúnmente 1 y 0, refiriéndose a que en un circuito electrónico hay (1verdadero) tensión de voltaje o hay ausencia de tensión de voltaje ( 0 ‐ falso). Electrónicamente se les asigna a cada uno un voltaje o rango de voltaje determinado, a los que se les denomina niveles lógicos, típicos en toda señal digital. Por lo regular los valores de voltaje en circuitos electrónicos pueden ir desde 1.5, 3, 5, 9 y 18 Volts dependiendo la aplicación así  por ejemplo, en un radio de transistores convencional  las tensiones de voltaje son por lo regular de 5 y 12 Volts al igual que se utiliza en los discos duros IDE de computadora. Se diferencia de la electrónica analógica en que, para la electrónica digital  un valor de voltaje codifica uno de estos dos estados, mientras que para la electrónica analógica hay una infinidad de estados de información que codificar según el valor del voltaje. Esta particularidad permite que, usando Álgebra Booleana y un sistema de numeración binario, se puedan realizar complejas operaciones lógicas o aritméticas sobre las señales de entrada, muy costosas de hacer empleando métodos analógicos. La electrónica digital ha alcanzado una gran importancia debido a que es utilizada para realizar autómatas y por ser la piedra angular de los sistemas microprogramados como son los ordenadores o computadoras. Los sistemas digitales pueden clasificarse del siguiente modo: Sistemas cableados Combinacionales Secuenciales Memorias Convertidores Sistemas programados Microprocesadores Microcontroladores 

description

Sistemas Digitales, Electrónica Digital

Transcript of Electronica Digital

  • Electrnica digital

    Laelectrnicadigitalesunapartedelaelectrnicaqueseencargadesistemaselectrnicosenloscualeslainformacinestcodificadaendosnicosestados.Adichosestadosselespuedellamar"verdadero"o"falso",omscomnmente1y0,refirindoseaqueenuncircuitoelectrnicohay(1verdadero)tensindevoltajeohayausenciadetensindevoltaje(0falso).Electrnicamenteselesasignaacadaunounvoltajeorangodevoltajedeterminado,alosqueselesdenominaniveleslgicos,tpicosentodasealdigital.Porloregularlosvaloresdevoltajeencircuitoselectrnicospuedenirdesde1.5,3,5,9y18Voltsdependiendolaaplicacinasporejemplo,enunradiodetransistoresconvencionallastensionesdevoltajesonporloregularde5y12VoltsaligualqueseutilizaenlosdiscosdurosIDEdecomputadora.

    Sediferenciadelaelectrnicaanalgicaenque,paralaelectrnicadigitalunvalordevoltajecodificaunodeestosdosestados,mientrasqueparalaelectrnicaanalgicahayunainfinidaddeestadosdeinformacinquecodificarsegnelvalordelvoltaje.

    Estaparticularidadpermiteque,usandolgebraBooleanayunsistemadenumeracinbinario,sepuedanrealizarcomplejasoperacioneslgicasoaritmticassobrelassealesdeentrada,muycostosasdehacerempleandomtodosanalgicos.

    Laelectrnicadigitalhaalcanzadounagranimportanciadebidoaqueesutilizadapararealizarautmatasyporserlapiedraangulardelossistemasmicroprogramadoscomosonlosordenadoresocomputadoras.

    Lossistemasdigitalespuedenclasificarsedelsiguientemodo:

    Sistemascableados

    Combinacionales

    Secuenciales

    Memorias

    Convertidores

    Sistemasprogramados

    Microprocesadores

    Microcontroladores

  • Encapsulados Los encapsulados de los circuitos integrados se clasifican segn la forma en que se montan sobre la tarjeta de circuito impreso y pueden ser de insercin o de montaje superficial.

    Los circuitos de insercin tienen unos "pines" que se insertan en los taladros de la tarjeta de circuito impreso y se sueldan a las pistas por la cara opuesta.

    Los encapsulados ms comunes para insercin son:

    DIP : Dual in Line Package

    PGA: Pin Grid Array

    Otra tcnica de encapsulados ms moderna ya que permite ahorrar espacio en los circuitos impresos es la tecnologa de montaje superficial SMT. Los pines de los encapsulados de montaje superficial se sueldan directamente a las pistas de la cara de componentes en el circuito impreso. Este hecho junto a su menor tamao hace que ocupen menos espacio.

    Otros tipos de encapsulados comunes son:

    SOIC: Small Outline IC

    PLCC: Plastic Leaded Chip Carrier

    LCCC: Leaded Cerammic Chip Carrier

    Muy frecuentemente los circuitos integrados no van directamente soldados al circuito impreso sino inmersos en un zcalo con el fin de poder cambiarlo ms facilmente.

    Dual in Line Package

    El nmero de pines no suele ser superior a 24.

  • Pin Grid Array

    El nmero de pines puede ser de hasta 256.

    SOIC: Small Outline IC

    Los pines de este encapsulado tienen forma de "ala de gaviota"

    PFP

    PLSTICO,planoPACK(FP)

    Uncircuitointegradoplsticopaquetecuyofilamentosporlosladosyampliarsonparalelosalabase.Paquetesepuedesuperficiemontadoodobladoenlainsercin.Fijaelplomo0,65es0.50".

  • PLCC: Plastic Leaded Chip Carrier

    Los pines de este encapsulado tiene forma de "J".

    LCCC: Leaded Cerammic Chip Carrier

    Este encapsulado debe ir insertado en un zcalo.

    Zcalos

  • Sistema binario

    Elsistemabinario,enmatemticaseinformtica,esunsistemadenumeracinenelquelosnmerosserepresentanutilizandosolamentelascifrasceroyuno(0y1).Losordenadorestrabajaninternamentecondosnivelesdevoltaje,porloquesusistemadenumeracinnaturaleselsistemabinario(encendido1,apagado0).

    Un nmero binario puede ser representado por cualquier secuencia de bits (dgitos binarios), que a su vez pueden ser representados por cualquier mecanismo capaz de estar en dos estados mutuamente exclusivos. Las secuencias siguientes de smbolos podran ser interpretadas todas como el mismo valor binario numrico:

    1 0 1 0 0 1 1 0 1 0 | - | - - | | - | - x o x o o x x o x o y n y n n y y n y n

    El valor numrico representado en cada caso depende del valor asignado a cada smbolo. En un ordenador, los valores numricos pueden ser representados por dos voltajes diferentes y tambin se pueden usar polaridades magnticas sobre un disco magntico. Un "positivo", "s", o "sobre el estado" no es necesariamente el equivalente al valor numrico de uno; esto depende de la arquitectura usada.

    De acuerdo con la representacin acostumbrada de cifras que usan nmeros rabes, los nmeros binarios comnmente son escritos usando los smbolos 0 y 1. Cuando son escritos, los nmeros binarios son a menudo subindicados, prefijados o sufijados para indicar su base, o la raz. Las notaciones siguientes son equivalentes:

    100101 binario (declaracin explcita de formato) 100101b (un sufijo que indica formato binario) 100101B (un sufijo que indica formato binario) bin 100101 (un prefijo que indica formato binario) 1001012 (un subndice que indica base 2 (binaria) notacin) %100101 (un prefijo que indica formato binario) 0b100101 (un prefijo que indica formato binario, comn en lenguajes de

    programacin)

    Operaciones con nmeros binarios

    Suma de nmeros binarios

    Las posibles combinaciones al sumar dos bits son:

    0 + 0 = 0 0 + 1 = 1 1 + 0 = 1 1 + 1 = 10

    100110101 + 11010101

    1000001010

  • Se puede convertir la operacin binaria a una operacin decimal resolver la decimal y del resultado de la operacin decimal se convierte a un resultado (nmero) binario.

    Operamos como en el sistema decimal: comenzamos a sumar desde la derecha, en nuestro ejemplo, 1 + 1 = 10, entonces escribimos 0 en la fila del resultado y llevamos 1 (este "1" se llama acarreo o arrastre). A continuacin se suma el acarreo a la siguiente columna: 1 + 0 + 0 = 1, y seguimos hasta terminar todas la columnas (exactamente como en decimal).

    Resta de nmeros binarios

    El algoritmo de la resta en binario es el mismo que en el sistema decimal. Pero conviene repasar la operacin de restar en decimal para comprender la operacin binaria, que es ms sencilla. Los trminos que intervienen en la resta se llaman minuendo, sustraendo y diferencia.

    Las restas bsicas 0-0, 1-0 y 1-1 son evidentes:

    0 - 0 = 0 1 - 0 = 1 1 - 1 = 0 0 - 1 = no cabe o se pide prestado al prximo.

    La resta 0 - 1 se resuelve, igual que en el sistema decimal, tomando una unidad prestada de la posicin siguiente: 10 - 1 = 1 y me llevo 1, lo que equivale a decir en decimal, 2 - 1 = 1. Esa unidad prestada debe devolverse, sumndola, a la posicin siguiente. Veamos algunos ejemplos:

    Restamos 17 - 10 = 7 (2=345) Restamos 217 - 171 = 46 (3=690) 10001 11011001 -01010 -10101011 00111 00101110

    A pesar de lo sencillo que es el procedimiento, es fcil confundirse. Tenemos interiorizado el sistema decimal y hemos aprendido a restar mecnicamente, sin detenernos a pensar en el significado del arrastre. Para simplificar las restas y reducir la posibilidad de cometer errores hay varias soluciones:

    Dividir los nmeros largos en grupos. En el siguiente ejemplo, vemos cmo se divide una resta larga en tres restas cortas:

    100110011101 1001 1001 1101 -010101110010 -0101 -0111 -0010 = 010000101011 0100 0010 1011

    Utilizando el complemento a dos. La resta de dos nmeros binarios puede obtenerse sumando al minuendo el complemento a dos del sustraendo. Veamos algunos ejemplos. Hagamos la siguiente resta, 91 - 46 = 45, en binario:

    1011011 1011011

  • -0101110 C2 de 46 = 1010010 +1010010 0101101 10101101

    En el resultado nos sobra un bit, que se desborda por la izquierda. Pero, como el nmero resultante no puede ser ms largo que el minuendo, el bit sobrante se desprecia.

    Un ltimo ejemplo: vamos a restar 219 - 23 = 196, directamente y utilizando el complemento a dos:

    11011011 11011011 -00010111 C2 de 23 = 11101001 +11101001 11000100 111000100

    Y, despreciando el bit que se desborda por la izquierda, llegamos al resultado correcto: 11000100 en binario, 196 en decimal.

    Utilizando el complemento a 1. La resta de dos nmeros binarios puede obtenerse sumando al minuendo el complemento a uno del sustraendo y a su vez sumarle el bit de overflow (bit que se desborda).

    Producto de nmeros binarios

    El algoritmo del producto en binario es igual que en nmeros decimales; aunque se lleva cabo con ms sencillez, ya que el 0 multiplicado por cualquier nmero da 0, y el 1 es el elemento neutro del producto.

    Por ejemplo, multipliquemos 10110 por 1001:

    10110 1001 10110 00000 00000 10110 11000110

    En sistemas electrnicos, donde se suelen utilizar nmeros mayores, no se utiliza este mtodo sino otro llamado algoritmo de Booth.

  • Divisin de nmeros binarios

    La divisin en binario es similar a la decimal, la nica diferencia es que a la hora de hacer las restas, dentro de la divisin, estas deben ser realizadas en binario. Por ejemplo, vamos a dividir 100010010 (274) entre 1101 (13):

    100010010 |1101 - 0000 010101 10001 - 1101 01000 - 0000 10000 - 1101 00111 - 0000 01110 - 1101 00001

    Conversin entre binario y decimal, binario y octal, y binario y hexadecimal

    Binario a decimal

    Para realizar la conversin de binario a decimal, realice lo siguiente:

    1. Inicie por el lado derecho del nmero en binario, cada nmero multiplquelo por 2 y elvelo a la potencia consecutiva (comenzando por la potencia 0).

    2. Despus de realizar cada una de las multiplicaciones, sume todas y el nmero resultante ser el equivalente al sistema decimal.

    Ejemplos:

    110101 (binario) = 53 (decimal). Proceso:

    1*(2) elevado a (0)=1 0*(2) elevado a (1)=0 1*(2) elevado a (2)=4 0*(2) elevado a (3)=0 1*(2) elevado a (4)=16 1*(2) elevado a (5)=32 La suma es: 53

  • 10010111 (binario) = 151 (decimal). Proceso:

    1*(2) elevado a (0)=1 1*(2) elevado a (1)=2 1*(2) elevado a (2)=4 0*(2) elevado a (3)=0 1*(2) elevado a (4)=16 0*(2) elevado a (5)=0 0*(2) elevado a (6)=0 1*(2) elevado a (7)=128 La suma es: 151

    110111 (binario) = 55 (decimal). Proceso:

    1*(2) elevado a (0)=1 1*(2) elevado a (1)=2 1*(2) elevado a (2)=4 0*(2) elevado a (3)=0 1*(2) elevado a (4)=16 1*(2) elevado a (5)=32 La suma es: 55

    Tambin se puede optar por utilizar los valores que presenta cada posicin del nmero binario a ser transformado, comenzando de derecha a izquierda, y sumando los valores de las posiciones que tienen un 1.

    Por ejemplo: el nmero binario 1010010 corresponde en decimal al 82 se puede representar de la siguiente manera:

    64 32 16 8 4 2 1 1 0 1 0 0 1 0

    entonces se suma los nmeros 2, 16 y 64:

    2 +16 64 ---- 82

    Binario a decimal (Con decimal binario)

    1. Inicie por el lado izquierdo, cada nmero multiplquelo por 2 y elvelo a la potencia consecutiva a la inversa(comenzando por la potencia -1). 2.Despus de realizar cada una de las multiplicaciones, sume todas y el nmero resultante ser el equivalente al sistema decimal.

  • Ejemplos:

    0.101001 (binario) = 0.640625(decimal). Proceso:

    1*(2) elevado a (-1)=0.5 0*(2) elevado a (-2)=0 1*(2) elevado a (-3)=0.125 0*(2) elevado a (-4)=0 0*(2) elevado a (-5)=0 1*(2) elevado a (-6)=0.015625 La suma es: 0.640625

    0.110111 (binario) = 0.859375(decimal). Proceso:

    1*(2) elevado a (-1)=0.5 1*(2) elevado a (-2)=0.25 0*(2) elevado a (-3)=0 1*(2) elevado a (-4)=0.0625 1*(2) elevado a (-5)=0.03125 1*(2) elevado a (-6)=0.015625 La suma es: 0.859375

    Decimal a binario

    Se divide el nmero decimal entre 2 cuyo resultado entero se vuelve a dividir entre 2 y as sucesivamente. Una vez llegados al 1 indivisible se cuentan el ltimo cociente, es decir el uno final (todo nmero binario excepto el 0 empieza por uno), seguido de los residuos de las divisiones subsiguientes. Del ms reciente hasta el primero que result. Este nmero ser el binario que buscamos. A continuacin se puede ver un ejemplo con el nmero decimal 100 pasado a binario.

    100 |_2 0 50 |_2 0 25 |_2 --> (100)10 = (1100100)2 1 12 |_2 0 6 |_2 0 3 |_2 1 1

    Otra forma de conversin consiste en un mtodo parecido a la factorizacin en nmeros primos. Es relativamente fcil dividir cualquier nmero entre 2. Este mtodo consiste tambin en divisiones sucesivas. Dependiendo de si el nmero es par o impar, colocaremos un cero o un uno en la columna de la derecha. Si es impar, le restaremos uno y seguiremos dividiendo entre dos, hasta llegar a 1. Despus slo nos queda tomar el ltimo resultado de la columna izquierda (que siempre ser 1) y todos los de la columna de la derecha y ordenar los dgitos de abajo a arriba. Y luego se hara un cuadro con las potencias con el resultado.

  • Ejemplo:

    100|0 50|0 25|1 --> 1, 25-1=24 y seguimos dividiendo por 2 12|0 6|0 3|1 1|1 --> (100)10 = (1100100)2

    Existe un ltimo mtodo denominado de distribucin. Consiste en distribuir los unos necesarios entre las potencias sucesivas de 2 de modo que su suma resulte ser el nmero decimal a convertir. Sea por ejemplo el nmero 151, para el que se necesitarn las 8 primeras potencias de 2, ya que la siguiente, 28=256, es superior al nmero a convertir. Se comienza poniendo un 1 en 128, por lo que an faltarn 23, 151-128=23, para llegar al 151. Este valor se conseguir distribuyendo unos entre las potencias cuya suma den el resultado buscado y poniendo ceros en el resto. En el ejemplo resultan ser las potencias 4, 2, 1 y 0, esto es, 16, 4, 2 y 1, respectivamente.

    Ejemplo:

    20= 1|1 21= 2|1 22= 4|1 23= 8|0 24= 16|1 25= 32|0 26= 64|0 27= 128|1 128 + 16 + 4 + 2 + 1 = (151)10 = (10010111)2

    Decimal (Con decimales) a binario

    1. Inicie por el lado izquierdo, cada nmero dividalo por 2 y si la parte entera queda mayor que 0 entonces en binario ser 1 en caso contrario ser 0

    2. En caso de ser 1 para la siguiente divisin coja unicamente los decimales. 3. Despus de realizar cada una de las divisiones, coloque los numeros que ha

    obtenido en orden de aparicin. 4. Hay que tener cuidado con este mtodo pues algunos numeros tienen una

    representacin infinita o muy larga, por ejemplo el 0.1

    0.3125 (decimal) = 0.0101(decimal). Proceso:

    0.3125*2 = 0.625 => 0 0.625*2 = 1.25 => 1 0.25*2 = 0.5 => 0 0.5*2 = 1 => 1 En orden: 0101

    0.625 (decimal) = 0.101(binario). Proceso:

    0.625*2 = 1.25 => 1 0.25*2 = 0.5 => 0

  • 0.5*2 = 1 => 1 En orden: 101

  • Binario a octal

    Para realizar la conversin de binario a octal, realice lo siguiente:

    1) Agrupe la cantidad binaria en grupos de 3 en 3 iniciando por el lado derecho. Si al terminar de agrupar no completa 3 dgitos, entonces agregue ceros a la izquierda.

    2) Posteriormente vea el valor que corresponde de acuerdo a la tabla:

    Nmero en binario 000 001 010 011 100 101 110 111

    Nmero en octal 0 1 2 3 4 5 6 7

    3) La cantidad correspondiente en octal se agrupa de izquierda a derecha.

    Ejemplos:

    110111 (binario) = 67 (octal). Proceso:

    111 = 7 110 = 6 Agrupe de izquierda a derecha: 67

    11001111 (binario) = 317 (octal). Proceso:

    111 = 7 001 = 1 11 entonces agregue un cero, con lo que se obtiene 011 = 3 Agrupe de izquierda a derecha: 317

    1000011 (binario) = 103 (octal). Proceso:

    011 = 3 000 = 0 1 entonces agregue 001 = 1 Agrupe de izquierda a derecha: 103.

    Octal a binario

    Cada dgito octal se lo convierte en su binario equivalente de 3 bits y se juntan en el mismo orden. Ejemplo:

    247 (octal) = 010100111 (binario). El 2 en binario es 10, pero en binario de 3 bits es Oc(2) = B(010); el Oc(4) = B(100) y el Oc(7) = (111), luego el nmero en binario ser 010100111.

  • Binario a hexadecimal

    Para realizar la conversin de binario a hexadecimal, realice lo siguiente:

    1) Agrupe la cantidad binaria en grupos de 4 en 4 iniciando por el lado derecho. Si al terminar de agrupar no completa 4 dgitos, entonces agregue ceros a la izquierda.

    2) Posteriormente vea el valor que corresponde de acuerdo a la tabla:

    Nmero en binario 0000 0001 0010 0011 0100 0101 0110 0111 1000 1001 1010 1011 11

    Nmero en hexadecimal 0 1 2 3 4 5 6 7 8 9 A B C

    3) La cantidad correspondiente en hexadecimal se agrupa de derecha a izquierda.

    Ejemplos:

    110111010 (binario) = 1BA (hexadecimal). Proceso:

    1010 = A 1011 = B 1 entonces agregue 0001 = 1 Agrupe de derecha a izquierda: 1BA

    11011110101 (binario) = 6F5 (hexadecimal). Proceso:

    0101 = 5 1111 = F 110 entonces agregue 0110 = 6

    Agrupe de derecha a izquierda: 6F5

    Hexadecimal a binario

    dem que para pasar de octal a binario, slo que se remplaza por el equivalente de 4 bits, como de octal a binario.

    Tabla de conversin entre decimal, binario, hexadecimal, octal, BCD, Exceso 3 y Gray o Reflejado

    Decimal Binario Hexadecimal Octal BCD Exceso 3 Gray o

    Reflejado

  • 0 0000 0 0 0000 0011 0000

    1 0001 1 1 0001 0100 0001

    2 0010 2 2 0010 0101 0011

    3 0011 3 3 0011 0110 0010

    4 0100 4 4 0100 0111 0110

    5 0101 5 5 0101 1000 0111

    6 0110 6 6 0110 1001 0101

    7 0111 7 7 0111 1010 0100

    8 1000 8 10 1000 1011 1100

    9 1001 9 11 1001 1100 1101

    10 1010 A 12 0001 0000

    11 1011 B 13 0001 0001

    12 1100 C 14 0001 0010

    13 1101 D 15 0001 0011

    14 1110 E 16 0001 0100

    15 1111 F 17 0001 0101

  • Compuerta AND

    La compuerta AND o Y lgica es una de las compuertas ms simples dentro de la Electrnica Digital.

    Su representacin es la que se muestra en las siguientes figuras.

    La primera es la representacin de una compuerta AND de 2 entradas y la segunda de una compuerta AND de 3 entradas.

    La compuerta Y lgica ms conocida tiene dos entradas A y B, aunque puede tener muchas ms (A,B,C, etc.) y slo tiene una salida X.

    La compuerta AND de 2 entradas tiene la siguiente tabla de verdad.

    Se puede ver claramente que la salida X solamente es "1" (1 lgico, nivel alto) cuando la entrada A como la entrada B estn en "1". En otras palabras...

    La salida X es igual a 1 cuando la entrada A y la entrada B son 1

    Esta situacin se representa en lgebra booleana como:X = A*B o X = AB.

    Una compuerta AND de 3 entradas se puede implementar con interruptores, como se muestra en el siguiente diagrama.

    La tabla de verdad se muestra al lado derecho donde: A = Abierto y C = Cerrado.

  • Una compuerta AND puede tener muchas entradas.

    Una compuerta AND de mltiples entradas puede ser creada conectando compuertas simples en serie.

    El problema de poner compuertas en cascada, es que el tiempo de propagacin de la seal desde la entrada hasta la salida, aumenta.

    Si se necesita una compuerta AND de 3 entradas y no una hay disponible, es fcil crearla con dos compuertas AND de 2 entradas en serie o cascada como se muestra en el siguiente diagrama.

    Se observa que la tabla de verdad correspondiente es similar a la mostrada anteriormente, donde se ultilizan interruptores.

    Se puede deducir que el tiempo de propagacin de la seal de la entrada C es menor que los de las entradas A y B (Estas ltimas deben propagarse por dos compuertas mientras que la entrada C se propaga slo por una compuerta)

    De igual manera, se puede implementar compuertas AND de 4 o ms entradas

    Compuerta NAND Una compuerta NAND (NO Y) de dos entradas, se puede implementar con la concatenacin de una compuerta AND o "Y" de dos entradas y una compuerta NOT o "No" o inversora. Ver la siguiente figura.

    Al igual que en el caso de la compuerta AND, sta se puede encontrar en versiones de 2, 3 o ms entradas.

  • Tablas de verdad de la compuerta NAND:

    Como se puede ver la salida X slo ser "0" cuando todas las entradas sean "1".

    Nota: Un caso interesante de este tipo de compuerta, al igual que la compuerta NOR o "NO O", es que en la primera y ltima lnea de la tabla de verdad, la salida X es tiene un valor opuesto al valor de las entradas.

    En otras palabras: Con una compuerta NAND se puede obtener el comportamiento de una compuerta NOT o "NO". Aunque la compuerta NAND parece ser la combinacin de 2 compuertas (1 AND y 1 NOT), sta es ms comn que la compuerta AND a la hora de hacer diseos.

    En la realidad este tipo de compuertas no se construyen como si combinramos los dos tipos de compuertas antes mencionadas, si no que tienen un diseo independiente. En el siguiente diagrama se muestra la implementacin de una compuerta NOT con una compuerta NAND. En la tabla de verdad se ve que slo se dan dos casos a la entrada: cuando I = A = B = 0 cuando I = A = B = 1

    La compuerta lgica "OR" o compuerta "O"

    La compuerta O lgica o compuerta OR es una de las compuertas mas simples dentro de la Electrnica Digital.

    La salida X de esta compuerta ser "1" cuando la entrada "A" o la entrada "B" este en "1". O expresndolo en otras palabras:

    En una compuerta OR, la salida ser "1", cuando en cualquiera de sus entradas haya un "1".

  • La representacin de la compuerta "OR" de 2 entradas y tabla de verdad se muestran a continuacin:

    A

    B X

    0 0 0 0 1 1 1 0 1 1 1 1

    Y se representa con la siguiente funcin booleana: X = A+B o X = B+A

    Esta misma compuerta se puede implementar con interruptores como se muestra en la figura de la derecha, en donde se puede ver que: cerrando el interruptor A "O" el interruptor B se encender la luz

    "1" = cerrado , "0" = abierto, "1" = luz encendida

    En las siguientes figuras se muestran la representacin de la compuerta "OR" de tres entradas con su tabla de verdad y la implementacin con interruptores

    Representacin de una compuerta OR de 3 entradas con su tabla de verdad

    A

    B C X

    0 0 0 0

    0 0 1 1

    0 1 0 1

    0 1 1 1

    1 0 0 1

    1 0 1 1

    1 1 0 1

    1 1 1 1

  • Compuerta "OR" de 3 entradas implementada con interruptores

    La lmpara incandescente se iluminar cuando cualquiera de los interruptores (A o B o C) se cierre.

    Se puede ver que cuando cualquiera de ellos est cerrado la lmpara estar alimentada y se encender. La funcin booleana es X = A + B + C

    Compuerta lgica "NOR" o No "O"

    Una compuerta NOR (No O) se puede implementar con la concatenacin de una compuerta OR con una compuerta NOT, como se muestra en la siguiente figura

    Al igual que en el caso de la compuerta OR, sta se puede encontrar en versiones de 2, 3 o ms entradas. Las tablas de verdad de estos tipos de compuertas son las siguientes:

    Tabla de verdad de una compuerta NOR de 2 entrada

    A B X=A+B 0 0 1 0 1 0 1 0 0 1 1 0

  • Tabla de verdad de una compuerta NOR de 3 entradas

    A B C X=A+B+C 0 0 0 1 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 0

    Como se puede ver la salida X slo ser "1" cuando todas las entradas sean "0".

    Compuerta NOT creada con compuerta NOR

    Un caso interesante de este tipo de compuerta, al igual que la compuerta NAND, es que cuando stas (las entradas A y B o A, B y C) se unen para formar una sola entrada, la salida (X) es exactamente lo opuesto a la entrada, en la primera y la ltima lnea de la tabla de verdad.

    En otras palabras: Con una compuerta NOR se puede implementar el comportamiento de una compuerta NOT

    Tabla de verdad

    I X = I

    0 1 1 0

  • Compuerta NOT (No) o compuerta inversora

    Dentro de la electrnica digital, no se podran lograr muchas cosas si no existiera la compuerta NOT (compuerta NO), tambin llamada compuerta inversora.

    Esta compuerta como la compuerta AND y la compuerta OR es muy importante. La compuerta NOT entrega en su salida el inverso (opuesto) de la entrada. El smbolo y la tabla de verdad son los siguientes:

    A

    X

    0 1

    1 0

    La salida de una compuerta NOT tiene el valor inverso al de su entrada. En el caso del grfico anterior la salida X = A.

    Esto significa que si a la entrada tenemos un "1" lgico, a la salida har un "0" lgico y si a la entrada tenemos un "0" a la salida habr un "1"

    Nota: El apstrofe en la siguiente expresin significa "negado": X = A y es igual a X = A

    Las compuertas NOT se pueden conectar en cascada, logrando despus de dos compuertas, la entrada original.

    A

    XX

    0 1 1

    1 0 0

    Un motivo para implementar un circuito que tenga en su salida, lo mismo que tiene en su entrada, es conseguir un retraso de la seal con un propsito especial.

  • La compuerta lgica "O" exclusiva o XOR Circuito XOR equivalente

    En la electrnica digital hay unas compuertas que no son comunes. Una de ellas es la

    compuerta XOR o compuerta O exclusiva o compuerta O excluyente.

    Smbolo de una compuerta XOR de 2 entradas:

    Esta compuerta digital es muy importante para despus implementar lo que se llama un comparador digital.

    Tabla de verdad de una compuerta XOR de 2 entradas

    A B X 0 0 0 0 1 1 1 0 1 1 1 0

    Y se representa con la siguiente funcin booleana: X = A.B + A.B

    El nombre de esta compuerta es expresa tambin como XOR

    A diferencia de la compuerta OR, la compuerta XOR tiene una salida igual a 0 cuando sus entradas son iguales a 1.

    Si se comparan las tablas de verdad de ambas compuertas se observa que la compuerta XOR es uno ("1") a su salida cuando la suma de los unos "1" a las entradas es igual a un nmero impar.

    La ecuacin se puede escribir de dos maneras:

    X = A.B + A.B

  • Ver tabla de verdad de una compuerta XOR de 3 entradas

    A B C X 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1

    Se puede ver como se cumple que X = 1 slo cuando la suma de las entras en "1" es impar

    Tambin se puede implementar la compuerta XOR con una combinacin de otras compuertas mas comunes para obtener el:

    Circuito XOR equivalente

    En el siguiente diagrama se muestra una compuerta XOR de dos entradas implementada con compuertas bsicas: compuerta AND, compuerta OR y compuerta NOT

  • Lgica binaria

    La lgica binaria trabaja con variables binarias y operaciones lgicas. As, las variables slo tomarn dos valores discretos: V (verdadero) y F (falso); aunque tambin se pueden denotar como s y no, 1 y 0 respectivamente.

    Principio de dualidad

    Todas las expresiones booleanas permanecen vlidas si se intercambian los operadores '+' y '', y los elementos '0' y '1'.

    As para obtener una expresin algebraica dual, se intercambian los operadores AND y OR y se reemplazan unos por ceros y viceversa.

    Tablas de verdad de las operaciones binarias fundamentales

    Multiplicacin lgica o interseccin

    Tambin conocida como AND (la conjuncin y en ingls).

    Resumiendo, el resultado siempre dar 0 a menos que ambas variables valgan 1; esto sucede porque como se explic anteriormente hay solo dos variable 0 y 1 por consiguiente al multiplicar 1*1 nos da como resultado 1.(Equivale a la multiplicacin)

    Suma lgica o unin

    Tambin conocida como OR (o).

    Resumiendo, el resultado arrojado ser siempre 1 si al menos una de las variables tiene por valor 1. Nota: Estrictamente, entre AND y OR slo una de las dos podra considerarse fundamental ya que una puede obtenerse de la otra en combinacin con el NOT segn las leyes de Morgan.

    Negacin lgica

    Tambin conocida como NOT (no).

  • El not es una inversin del valor como se ve. (Equivale a restar el valor inicial de 1)

    Operaciones lgicas compuestas

    Siguiendo el lgebra de Boole se pueden combinar estas operaciones empleando varias variables y obteniendo resultados ms complejos. A continuacin una tabla de verdad de una operacin lgica compuesta.

    Ejemplo:

    A (B + C) = A (B + C)

    A B C Resultado 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

    Axiomas

    Las propiedades definen reglas precisas para transformar unas expresiones en otras equivalentes. Los axiomas son propiedades primitivas.

    Propiedad conmutativa (el resultado no depende del orden)

    Propiedad asociativa (el resultado no depende de el modo de asociacin)

    Propiedad distributiva (una operacin se distribuye en una asociacin)

    Otras propiedades

  • Leyes de Morgan

    Operadores no fundamentales XOR, XNOR e IMPLIES

    Los operadores no fundamentales pueden expresarse a partir de los operadores fundamentales

    XOR:

    XOR se conoce como OR exclusiva

    XNOR:

    XNOR equivale a s-y-slo-si

    IMPLIES:

    IMPLIES equivale a si-entonces

  • Funcinbooleana

    Se denomina funcin lgica o booleana a aquella funcin matemtica cuyas variables son binarias y estn unidas mediante los operadores del lgebra de Boole suma lgica (+), producto lgico () o negacin(').

    Modos de representacin

    Existen distintas formas de representar una funcin lgica, entre las que podemos destacar las siguientes:

    Algebraica Portabladeverdad Numrica Grfica

    El uso de una u otra, como veremos, depender de las necesidades concretas en cada caso.

    Algebraica

    Se utiliza cuando se realizan operaciones algebraicas. A continuacin se ofrece un ejemplo con distintas formas en las que se puede expresar algebraicamente una misma funcin de tres variables.

    a)F=[(A+BC)+ABC]+ABC

    b)F=ABC+ABC+ABC+ABC

    c)F=(A+B+C)(A+B+C)(A+B+C)(A+B+C)

    d)F=BC+AB

    e)F=(A+B)(B+C)

    f)F=[(BC)(AB)]

    g)F=[(A+B)+(B+C)]

    La expresin a) puede proceder de un problema lgico planteado o del paso de unas especificaciones a lenguaje algebraico. Las formas b) y c) reciben el nombre expresiones cannicas de suma de productos (sum-of-products, SOP, en ingls), la b), y de productos de sumas (product-of-sums, POS, en ingls), la c); su caracterstica principal es la aparicin de cada una de las variables (A, B y C) en cada uno de los sumandos o productos. Las d) y e) son funciones simplificadas, esto es, reducidas a su mnima expresin. Las dos ltimas expresiones tienen la particularidad de que exclusivamente utiliza funciones NO-Y, la f), o funciones NO-O, la g).

  • Por tabla de verdad

    Una tabla de verdad contiene todos los valores posibles de una funcin lgica dependiendo del valor de sus variables. El nmero de combinaciones posibles para una funcin de n variables vendr dado por 2n. Una funcin lgica puede representarse algebraicamente de distintas formas como acabamos de ver, pero slo tiene una tabla de verdad. La siguiente tabla corresponde a la funcin lgica del punto anterior.

    A BC F

    0 0 0 0

    0 0 1 0

    0 1 0 1

    0 1 1 0

    1 0 0 1

    1 0 1 1

    1 1 0 1

    1 1 1 0

    La forma ms cmodo para ver la equivalencia entre una tabla de verdad y una expresin algebraica es cuando esta ltima se da en su forma cannica. As, la funcin cannica de suma de productos

    F=ABC+ABC+ABC+ABC

    nos indica que ser 1 cuando lo sea uno de sus sumandos, lo que significa que tendr por lo tanto cuatro combinaciones que lo sern (010 para ABC, 100 para ABC, 101 para ABC y 110 para ABC) siendo el resto de combiaciones 0. Con la funcin cannica de producto de sumas se puede razonar de forma anloga, pero en este caso observando que la funcin ser 0 cuando lo sea uno de sus productos.

    Tambin es fcil obtener la tabla de verdad a partir de la funcin simplificada, pero no as a la inversa.

    Numrica

  • La representacin numrica es una forma simplificada de representar las expresiones cannicas. Si consideramos el criterio de sustituir una variable sin negar por un 1 y una negada por un 0, podremos representar el trmino, ya sea una suma o un producto, por un nmero decimal equivalente al valor binario de la combinacin. Por ejemplo, los siguientes trminos cannicos se representarn del siguiente modo (observe que se toma el orden de A a D como de mayor a menor peso):

    ABCD=10112=1110

    A+B+C+D=01002=410

    Para representar una funcin cannica en suma de productos utilizaremos el smbolo n (sigma) y en producto de sumas n (pi), donde n indicar el nmero de variables. As, la representacin numrica correspondiente a la tabla de verdad del punto anterior quedar como:

    F=3(2,4,5,6)=3(0,1,3,7)

    Matemticamente se demuestra, que para todo trmino i de una funcin, se cumple la siguiente ecuacin:

    F=[n(i)]'=n(2n1i)

    A modo de ejemplo se puede utilizar esta igualdad para obtener el producto de sumas a partir de la suma de productos del ejemplo anterior:

    F=3(2,4,5,6)=[3(2,4,5,6)]''=[3(0,1,3,7)]'=3(0,4,6,7)

    Grfica

    La representacin grfica es la que se utiliza en circuitos y esquemas electrnicos. En la siguiente figura se representan grficamente dos funciones algebraicas, una con smbolos no normalizados, superior, y la otra con normalizados, inferior (vanse los smbolos de las puertas lgicas)

  • Representacingrficadedosfuncioneslgicas

    Mtodos de simplificacin

    Por simplificacin de una funcin lgica se entiende la obtencin de su mnima expresin. A la hora de implementar fsicamente una funcin lgica se suele simplificar para reducir as la compejidad del circuiuto.

    A continuacin se indican los modos ms usuales de simplificar una funcin lgica.

    Algebraico

    Para la simplificacin por este mtodo no slo bastar con conocer todas las propiedades y teoremas del lgebra de Boole, adems se debe desarrollar una cierta habilidad lgico-matemtica que se adquiere fundamentalmente con la experiencia.

    Como ejemplo se simplificar la siguiente funcin:

    F=AC+ABC+BC+ABC+ABC

    Observando cada uno de los sumando podemos ver que hay factores comunes en los sumandos 2 con 5 y 4 con 5 que conllevan simplificacin:

    F=AC+BC+BC(A+A)+AC(B+B)

    Note que el trmino 5 se ha tomado dos veces, de acuerdo con la propiedad que diceque A + A = 1. Aplicando las propiedades del lgebra de Boole, queda

    F=AC+BC+BC+AC

    Repitiendo nuevamente el proceso,

    F=A(C+C)+B(C+C)=A+B

    No siempre las funciones son tan fciles de simplificar como la anterior. El mtodo algebraico, por lo general, no resulta cmodo para los no expertos, a los cuales, una vez simplificada una ecuacin le pueden quedar serias dudas de haber conseguido la mxima simplificacin.

    Grfico de Karnaugh

    Este mtodo consiste en formar diagramas de 2n cuadros, siendo n el nmero de variables. Cada cuadro representa una de las diferentes combinaciones posibles y se disponen de tal forma que se puede pasar de un cuadro a otro en las direcciones horizontal o vertical, cambiando nicamente una variable, ya sea en forma negada o directa.

  • Este mtodo se emplea fundamentalmente para simplificar funciones de hasta cuatro variables. Para un nmero superior utilizan otros mtodos como el numrico. A continuacin pueden observarse los diagramas, tambin llamados mapas de Karnaugh, para dos, tres y cuatro variables.

    MapasdeKarnaughparados,tresycuatrovariables

    Es una prctica comn numerar cada celda con el nmero decimal correspondiente al trmino cannico que albergue, para facilitar el trabajo a la hora de plasmar una funcin cannica.

    Para simplificar una funcin lgica por el mtodo de Karnaugh se seguirn los siguientes pasos:

    1) Se dibuja el diagrama correspondiente al nmero de variables de la funcin a simplificar.

    2) Se coloca un 1 en los cuadros correspondientes a los trminos cannicos que forman parte de la funcin.

    3) Se agrupan mediante lazos los unos de casillas adyacentes siguiendo estrictamente las siguientes reglas:

    a)Doscasillassonadyacentescuandosediferenciannicamenteenelestadodeunasolavariable.

    b)Cadalazodebecontenerelmayornmerodeunosposible,siemprequedichonmeroseapotenciadedos(1,2,4,etc.)

    c)Loslazospuedenquedarsuperpuestosynoimportaquehayacuadrculasquepertenezcanadosomslazosdiferentes.

    d)Sedebetratardeconseguirelmenornmerodelazosconelmayornmerodeunosposible.

    4) La funcin simplificada tendr tantos trminos como lazos posea el diagrama. Cada trmino se obtiene eliminando la o las variables que cambien de estado en el mismo lazo.

  • A modo de ejemplo se realizan dos simplificaciones de una misma funcin a partir de sus dos formas cannicas:

    F=3(0,2,3,4,7)=3(1,2,6)

    De acuerdo con los pasos vistos anteriormente, el diagrama de cada funcin quedar del siguiente modo:

    Simplificacindeunafuncindetresvariables

    La funcin simplificada tendr tres sumandos en un caso y dos productos en el otro. Si nos fijamos en el mapa correspondiente a la suma de productos, observamos que en el lazo 1 cambia la variable A (en la celda 0 es negada y en la 4 directa), en el lazo 2 es la C y en el lazo 3 vuelve a ser A. por lo tanto, la ecuacin simplificada es:

    F=BC+AB+BC

    Razonando de modo similar en el mapa de productos de sumas, nos quedar:

    F=(B+C)(A+B+C)

  • Tecnologa TTL

    TTL es la sigla en ingls de Transistor-Transistor Logic o "Lgica Transistor a Transistor". Es una familia lgica o lo que es lo mismo, una tecnologa de construccin de circuitos electrnicos digitales. En los componentes fabricados con tecnologa TTL los elementos de entrada y salida del dispositivo son transistores bipolares.

    Caractersticas

    Sutensindealimentacincaractersticasehallacomprendidaentrelos4,75vylos5,25V(comoseveunrangomuyestrecho).

    Losniveleslgicosvienendefinidosporelrangodetensincomprendidaentre0,2Vy0,8VparaelestadoL(bajo)ylos2,4VyVccparaelestadoH(alto).

    Lavelocidaddetransmisinentrelosestadoslgicosessumejorbase,sibienestacaractersticalehaceaumentarsuconsumosiendosumayorenemigo.MotivoporelcualhanaparecidodiferentesversionesdeTTLcomoFAST,LS,S,etcyltimamentelosCMOS:HC,HCTyHCTLS.Enalgunoscasospuedealcanzarpocomsdelos250MHz.

    LassealesdesalidaTTLsedegradanrpidamentesinosetransmitenatravsdecircuitosadicionalesdetransmisin(nopuedenviajarmsde2mporcablesingravesprdidas).

    Historia

    Aunque la tecnologa TTL tiene su origen en los estudios de Sylvania, fue Signetics la compaa que la populariz por su mayor velocidad e inmunidad al ruido que su predecesora DTL, ofrecida por Fairchild Semiconductor y Texas Instruments, principalmente. Texas Instruments inmediatamente pas a fabricar TTL, con su familia 74xx, que se convertira en un estndar de la industria.

    Familias TTL

    Los circuitos de tecnologa TTL se prefijan normalmente con el nmero 74 (54 en las series militares e industriales). A continuacin un cdigo de una o varias cifras que representa la familia y posteriormente uno de 2 a 4 con el modelo del circuito.

    Con respecto a las familias cabe distinguir:

    TTL:Serieestndar TTLL(lowpower):Seriedebajoconsumo TTLS(schottky):Serierpida(usadiodosSchottky) TTLAS(advancedshottky):Versinmejoradadelaserieanterior TTLLS(lowpowershottky):CombinacindelastecnologasLyS(eslafamiliams

    extendida) TTLALS(advancedlowpowershottky):VersinmejoradadelaserieAS TTLF(FAST:fairchildadvancedschottky) TTLAF(advancedFAST):VersinmejoradadelaserieF TTLHC(highspeedCMOS):RealmentenosetratadetecnologaTTLbipolarsino

    CMOS

  • TTLHCT(highspeedCMOS):SerieHCdotadadeniveleslgicoscompatiblesconTTL TTLG(GHzCMOS):GHz(FromPotatoSemi)

    Versiones

    A la familia inicial 7400, o 74N, pronto se aadi una versin ms lenta pero de bajo consumo, la 74L y su contrapartida rpida, la 74H, que tena la base de los transistores dopada con oro para producir centros de recombinacin y disminuir la vida media de los portadores minoritarios en la base. Pero el problema de la velocidad proviene de que es una familia saturada, es decir, los transistores pasan de corte a saturacin. Pero un transistor saturado contiene un exceso de carga en su base que hay que eliminar antes de que comience a cortarse, prolongando su tiempo de respuesta. El estado de saturacin se caracteriza por tener el colector a menos tensin que la base. Entonces un diodo entre base y colector, desva el exceso de corriente impidiendo la introduccin de un exceso de cargas en la base. Por su baja tensin directa se utilizan diodos de barrera Schottky. As se tienen las familias 74S y 74LS, Schottky y Schottky de baja potencia. Las 74S y 74LS desplazaron por completo las 74L y 74H, debido a su mejor producto retardoconsumo. Mejoras en el proceso de fabricacin condujeron a la reduccin del tamao de los transistores que permiti el desarrollo de tres familias nuevas: 74F (FAST: Fairchild Advanced Schottky Technology)de Fairchild y 74AS (Advanced Schottky) y 74ALS (Advanced Low Power Schottky) de Texas Instruments. Posteriormente, National Semiconductor redefini la 74F para el caso de bferes e interfaces, pasando a ser 74F(r).

    PuertaNANDentecnologaTTLestndar(N)

    Tecnologa

    La tecnologa TTL se caracteriza por tener tres etapas, siendo la primera la que le nombra:

    Etapadeentradaporemisor.SeutilizauntransistormultiemisorenlugardelamatrizdediodosdeDTL.

    Separadordefase.Esuntransistorconectadoenemisorcomnqueproduceensucolectoryemisorsealesencontrafase.

    Driver.Estformadaporvariostransistores,separadosendosgrupos.Elprimerovaconectadoalemisordelseparadordefaseydrenanlacorrienteparaproducirelnivel

  • bajoalasalida.Elsegundogrupovaconectadoalcolectordeldivisordefaseyproduceelnivelalto.

    Esta configuracin general vara ligeramente entre dispositivos de cada familia, principalmente la etapa de salida, que depende de si son bferes o no y si son de colector abierto, tres estados (ThreeState), etc. Mayores variaciones se encuentran entre las distintas familias: 74N, 74L y 74H difieren principalmente en el valor de las resistencias de polarizacin, pero la mayora de los 74LS (y no 74S) carecen del transistor multiemisor caracterstico de TTL. En su lugar llevan una matrz de diodos Schottky (como DTL). Esto les permite aceptar un margen ms amplio de tensiones de entrada, hasta 15V en algunos dispositivos, para facilitar su interface con CMOS. Tambin es bastante comn, en circuitos conectados a buses, colocar un transistor pnp a la entrada de cada lnea, para disminuir la corriente de entrada y as la cargar menos el bus. Existen dispositivos de interface que integran impedancias de adaptacin al bus para disminuir la reflexiones u aumentar la velocidad.

    Aplicaciones

    Adems de los circuitos LSI y MSI descritos aqu, las tecnologas LS y S tambin se han empleado en:

    Microprocesadores,comoel8X300,deSignetics,lafamilia2900deAMDyotros. MemoriasRAM MemoriasPROM PAL,ProgrammableArrayLogic,consistenteenunaPROMqueinterconectalas

    entradasyciertonmerodepuertaslgicas.

    Tecnologa CMOS

    UninversorentecnologaCMOS

    CMOS (del ingls Complementary Metal Oxide Semiconductor, "Semiconductor Complementario de xido Metlico") es una de las familias lgicas empleadas en la fabricacin de circuitos integrados (chips). Su principal caracterstica consiste en la utilizacin conjunta de transistores de tipo pMOS y tipo nMOS configurados de tal forma que, en estado de reposo, el consumo de energa es nicamente el debido a las corrientes parsitas.

  • En la actualidad, la mayora de los circuitos integrados que se fabrican utilizan la tecnologa CMOS. Esto incluye microprocesadores, memorias, DSPs y muchos otros tipos de chips digitales.

    Principio de funcionamiento

    InversorestticoCMOS

    En un circuito CMOS, la funcin lgica a sintetizar se implementa por duplicado mediante dos circuitos: uno basado exclusivamente en transistores pMOS, y otro basado exclusivamente en transistores nMOS. El circuito pMOS es empleado para propagar el valor binario 1 , y el circuito nMOS para propagar el valor binario 0. Vase la figura. Representa una puerta lgica NOT o inversor. Tambien llamada Logitech.

    Cuandolaentradaes1,eltransistornMOSestenestadodeconduccin.Alestarsufuenteconectadaatierra(0),elvalor0sepropagaaldrenadoryportantoalasalidadelapuertalgica.EltransistorpMOS,porelcontrario,estenestadodenoconduccin

    Cuandolaentradaes0,eltransistorpMOSestenestadodeconduccin.Alestarsufuenteconectadaalaalimentacin(1),elvalor1sepropagaaldrenadoryportantoalasalidadelapuertalgica.EltransistornMOS,porelcontrario,estenestadodenoconduccin.

    Otra de las caractersticas importantes de los circuitos CMOS es que son regenerativos: una seal degradada que acometa una puerta lgica CMOS se ver restaurada a su valor lgico inicial 0 o 1, siempre y cuando an est dentro de los mrgenes de ruido.

    Ventajas e inconvenientes

    La familia lgica tiene una serie de ventajas que la hacen superior a otras en la fabricacin de circuitos integrados digitales:

    Elbajoconsumodepotencia,graciasalaaltaimpedanciadeentradadelostransistoresdetipoMOSFETyaque,enestadodereposo,uncircuitoCMOSsloexperimentarcorrientesparsitas.

  • Graciasasucarcterregenerativo,loscircuitosCMOSsonrobustosfrentearuidoodegradacindesealdebidoalaimpedanciadelmetaldeinterconexin.

    LoscircuitosCMOSsonsencillosdedisear. Latecnologadefabricacinestmuydesarrollada,yesposibleconseguirdensidades

    deintegracinmuyaltasaunpreciomuchomenorqueotrastecnologas

    Algunos de los inconvenientes son los siguientes:

    DebidoalcarctercapacitivodelostransistoresMOSFET,yalhechodequeestossonempleadosporduplicadoenparejasnMOSpMOS,lavelocidaddeloscircuitosCMOSescomparativamentemenorqueladeotrasfamiliaslgicas.

    Sonvulnerablesalatchup:ConsisteenlaexistenciadeuntiristorparsitoenlaestructuraCMOSqueentraenconduccincuandolasalidasuperalaalimentacin.Estoseproduceconrelativafacilidaddebidoalacomponenteinductivadelareddealimentacindeloscircuitosintegrados.Ellatchupproduceuncaminodebajaresistenciaalacorrientedealimentacinqueacarrealadestruccindeldispositivo.Siguiendolastcnicasdediseoadecuadasesteriesgoesprcticamentenulo.Generalmenteessuficienteconespaciarcontactosdesustratoypozosdedifusinconsuficienteregularidad,paraasegurarsedequeestslidamenteconectadoamasaoalimentacin.

    Segnsevareduciendoeltamaodelostransistores,lascorrientesparsitasempiezanasercomparablesalascorrientesdinmicas(debidasalaconmutacindelosdispositivos).

    Historia

    La tecnologa CMOS fue desarrollada por Wanlass y Sah, de Fairchild Semiconductor, a principios de los aos 60. Sin embargo, su introduccin comercial se debe a RCA, con su famosa familia lgica CD4000. Posteriormente, la introduccin de un bfer y mejoras en el proceso de oxidacin local condujeron a la introduccin de la serie 4000B, de gran xito debido a su bajo consumo (prcticamente cero, en condiciones estticas) y gran margen de alimentacin (de 3 a 18 V). RCA tambin fabric LSI en esta tecnologa, como su familia COSMAC de amplia aceptacin en determinados sectores, a pesar de ser un producto caro, debido a la mayor dificultad de fabricacin frente a dispositivos nmos.

    Pero su taln de Aquiles consista en su reducida velocidad. Cuando se aumenta la frecuencia de reloj, su consumo sube proporcionalmente, hacindose mayor que el de otras tecnologas. Esto se debe a dos factores:

    LacapacidadMOS,intrnsecaalostransistoresMOS,y LautilizacindemosdecanalP,mslentosquelosdecanalN,porserlamovilidadde

    loshuecosmenorqueladeloselectrones.

    El otro factor negativo era la complejidad que conlleva el fabricar los dos tipos de transistores, que obliga a utilizar un mayor nmero de mscaras. Por estos motivos, a comienzos de los 80, algunos autores pronosticaban el final de la tecnologa cmos, que sera sustituida por la novedosa I2L, entonces prometedora.

    Esta fue la situacin durante una dcada, para, en los ochenta, cambia el escenario rpidamente:

  • Porunlado,lasmejorasenlosmateriales,tcnicasdelitografayfabricacin,permitanreducireltamaodelostransistores,conloquelacapacidadmosresultabacadavezmenor.

    Porotro,laintegracindedispositivoscadavezmscomplejosobligabaalaintroduccindeunmayornmerodemscarasparaasegurarelaislamientoentretransistores,demodoquenoeramsdifcillafabricacindecmosquedenmos.

    En este momento empez un eclosin de memorias cmos, pasando de 256x4 bits de la 5101 a 2kx8 de la 6116 y 8Kx8 en la 6264, superando, tanto en capacidad como consumo reducido y velocidad a sus contrapartidas nmos. Tambin los microprocesadores, nmos hasta la fecha, comenzaron a aparecer en versiones cmos (80C85, 80C88, 65C02...).

    Y aparecieron nuevas familias lgicas, HC y HCT en competencia directa con la TTL-LS, dominadora del sector digital hasta el momento.

    Para entender la velocidad de estos nuevos cmos, hay que considerar la arquitectura de los circuitos nmos:

    Usodecargasactivas.Estoes:untransistorsepolarizaconotrostransistoresynoconresistenciasdebidoalmenortamaodeaquellos.Adems,eltransistormosfuncionafcilmentecomofuentedecorrienteconstante.Entoncesuninversorsehaceconectandoeltransistorinversoralacargaactiva.Cuandosesaturaeltransistor,drenatodalacorrientedelacargayelniveldasalidabaja.Cuandosecorta,lacargaactivainyectacorrientehastaqueelniveldesalidasube.Yaquestelcompromiso:esdeseableunacorrientepequeaporquereducelanecesidaddesuperficieenelsilicio(transistoresmspequeos)yladisipacin(menorconsumo).Perolastransicionesdenivelbajoanivelaltoserealizanporquelacargaactivacargalacapacidadmosdelsiguientetransistor,ademsdelascapacidadesparsitasqueexistan,porloqueunacorrienteelevadaesmejor,puessecarganlascapacidadesrpidamente.

    Estructurasdealmacenamientodinmicas.Lapropiacapacidadmossepuedeutilizarpararetenerlainformacindurantecortosperiodosdetiempo.Estemedioahorratransistoresfrentealbiestableesttico.Comolacapacidadmosesrelativamentepequea,enestaaplicacinhayqueusartransistoresgrandesycorrientesreducidas,loquellevaaundispositivolento.

    La tecnologa cmos mejora estos dos factores:

    Eliminalacargaactiva.Laestructuracomplementariahacequesloseconsumacorrienteenlastransiciones,demodoqueeltransistordecanalPpuedeaportarlacorrientenecesariaparacargarrpidamentelascapacidadesparsitas,conuntransistordecanalNmspequeo,demodoquelaclularesultamspequeaquesucontrapartidaennmos.

    Encmossesuelensustituirlosregistrosdinmicosporestticos,debidoaqueassepuedebajarelrelojhastaceroylasreducidasdimensionesybajoconsumodelaceldacmosyanohacentanatractivoslosregistrosdinmicos.

    Por ltimo, se suelen emplear transistores pequeos, poniendo una celda mayor para la interfaz con las patillas, ya que las necesidades de corriente son mucho mayores en las lneas de salida del chip.

  • La disminucin del tamao de los transistores y otras mejoras condujo a nuevas familias cmos: AC, ACT, ACQ...

    CMOS analgicos

    Los transistores MOS tambin se emplean en circuitos analgicos, debido a dos caractersticas importantes:

    Altaimpedanciadeentrada:LapuertadeuntransistorMOSvieneaserunpequeocondensador,porloquenoexistecorrientedepolarizacin.

    Reducidaresistenciadecanal:UnMOSsaturadosecomportacomounaresistenciacuyovalordependedelasuperficiedeltransistor.Esdecir,quesiselepidencorrientesreducidas,lacadadetensineneltransistorllegaasermuyreducida.

    Estas caractersticas posibilitan la fabricacin de amplificadores operacionales "Rail-to-Rail", en los que el margen de la tensin de salida abarca desde la alimentacin negativa a la positiva. Tambin es til en el diseo de reguladores de tensin lineales y fuentes conmutadas.

    CMOS y Bipolar

    Se emplean circuitos mixtos bipolar y CMOS tanto en circuitos analgicos como digitales, en un intento de aprovechar lo mejor de ambas tecnologas. En el mbito analgico destaca la tecnologa BiCMOS, que permite mantener la velocidad y precisin de los circuitos bipolares, pero con la alta impedancia de entrada y mrgenes de tensin CMOS. En cuanto a las familias digitales, la idea es cortar las lneas de corriente entre alimentacin y masa de un circuito bipolar, colocando transistores MOS. Esto debido a que un transistor bipolar se controla por corriente, mientras que uno MOS, por tensin.

  • VHDL

    VHDL es el acrnimo que representa la combinacin de VHSIC y HDL, donde VHSIC es el acrnimo de Very High Speed Integrated Circuit y HDL es a su vez el acrnimo de Hardware Description Language.

    Es un lenguaje usado por ingenieros definido por el IEEE (Institute of Electrical and Electronics Engineers) (ANSI/IEEE 1076-1993) que se usa para disear circuitos digitales. Otros mtodos para disear circuitos son la captura de esquemas (con herramientas CAD) y los diagramas de bloques, pero stos no son prcticos en diseos complejos. Otros lenguajes para el mismo propsito son Verilog y ABEL.

    Aunque puede ser usado de forma general para describir cualquier circuito se usa principalmente para programar PLD (Programable Logic Device - Dispositivo Lgico Programable), FPGA (Field Programmable Gate Array), ASIC y similares.

    Formas de describir un circuito

    Dentro del VHDL hay varias formas con las que podemos disear el mismo circuito y es tarea del diseador elegir la ms apropiada.

    Funcional: describimos la forma en que se comporta el circuito. Esta es la forma que ms se parece a los lenguajes de software ya que la descripcin es secuencial. Estas sentencias secuenciales se encuentran dentro de los llamados procesos en VHDL. Los procesos son ejecutados en paralelo entre s, y en paralelo con asignaciones concurrentes de seales y con las instancias a otros componentes.

    Flujo de datos: describe asignaciones concurrentes (en paralelo) de seales.

    Estructural: se describe el circuito con instancias de componentes. Estas instancias forman un diseo de jerarqua superior, al conectar los puertos de estas instancias con las seales internas del circuito, o con puertos del circuito de jerarqua superior.

    Mixta: combinacin de todas o algunas de las anteriores.

    En VHDL tambin existen formas metdicas para el diseo de mquinas de estados, filtros digitales, bancos de pruebas etc.

    Secuencia de diseo

    El flujo de diseo de un sistema podra ser:

    Divisin del diseo principal en mdulos separados. La modularidad es uno de los conceptos principales de todo diseo. Normalmente se diferencia entre dos

  • metodologas de diseo: top-down y botton-up. La metodologa top-down consiste en que un diseo complejo se divide en diseos ms sencillos que se puedan disear (o describir) ms fcilmente. La metodologa botton-up consiste en construir un diseo complejo a partir de mdulos, ya diseados, ms simples. En la prctica, un diseo usa generalmente ambas metodologas.

    Entrada de diseos, pueden usarse diversos mtodos tal como VHDL como se vio anteriormente.

    Simulacin funcional, es decir, comprobaremos que lo escrito en el punto anterior realmente funciona como queremos, si no lo hace tendremos que modificarlo. En este tipo de simulacin se comprueba que el cdigo VHDL o Verilog (u otro tipo de lenguaje HDL) ejecuta correctamente lo que se pretende.

    Sntesis. En este paso se adapta el diseo anterior (que sabemos que funciona) a un hardware en concreto, ya sea una FPGA o un ASIC. Hay sentencias del lenguaje que no son sintetizables, como por ejemplo divisiones o exponenciaciones con nmeros no constantes. El hecho de que no todas las expresiones en VHDL sean sintetizables es que el VHDL es un lenguaje genrico para modelado de sistemas (no slo para diseo de circuitos digitales), por lo que hay expresiones que no pueden ser transformadas a circuitos digitales. Durante la sntesis se tiene en cuenta la estructura interna del dispositivo, y se definen restricciones, como la asignacin de pins. El sintetizador optimiza las expresiones lgicas con objeto de que ocupen menor rea, o bien son eliminados las expresiones lgicas que no son usadas por el circuito.

    Simulacin post-sntesis. En este tipo de simulacin se comprueba que el sintetizador ha realizado correctamente la sntesis del circuito, al transformar el cdigo HDL en bloques lgicos conectados entre s. Este paso es necesario ya que, a veces, los sintetizadores producen resultados de sntesis incorrectos, o bien realiza simplificaciones del circuito al optimizarlo.

    Placement y routing. El proceso de placement consiste en situar los bloques digitales obtenidos en la sntesis de forma ptima, de forma que aquellos bloques que se encuentran muy interconectados entre si se siten prximamente. El proceso de routing consiste en rutar adecuadamente los bloques entre si, intentando minimizar retardos de propagacin para maximizar la frecuencia mxima de funcionamiento del dispositivo.

    Back-annotation. Una vez ha sido completado el placement & routing, se extraen los retardos de los bloques y sus interconexiones, con objeto de poder realizar una simulacin temporal (tambin llamada simulacin post-layout). Estos retardos son anotados en un fichero SDF (Standart Delay Format) que asocia a cada bloque o interconexcin un retardo mnimo/tpico/mximo.

    Simulacin temporal. A pesar de la simulacin anterior puede que el diseo no funcione cuando se programa, una de las causas puede ser por los retardos internos del chip. Con esta simulacin se puede comprobar, y si hay errores se tiene que volver a uno de los anteriores pasos.

    Programacin en el dispositivo. Se implementa el diseo en el dispositivo final y se comprueba el resultado.

    Ejemplos de programas

    En un diseo en VHDL tenemos dos partes principales: la entidad es como una caja negra en la que se definen entradas y salidas pero no se tiene acceso al interior, y es lo que usa cuanto se reutiliza un diseo dentro de otro; la arquitectura, que es donde se

  • describe el diseo de la forma que se ha visto antes. Otros elementos del lenguaje son las libreras, paquetes, funciones...

    Programa Hola Mundo

    use std.textio.all; -- bibliotecas entity hola is end entity hola; architecture Wiki of hola is constant mensaje: string := "hola mundo"; -- el mensaje begin process is -- proceso -> secuencial variable L: line; begin write(L, mensaje); writeline(output, L); -- escribe todo lo anterior wait; end process; end architecture Wiki;

    Multiplexor

    Este ejemplo simula un multiplexor de dos entradas. Es un ejemplo sencillo que muestra como describir un elemento a partir de su funcionamiento.

    entity MUX2a1 is port(a: in std_logic; b: in std_logic; sel: in std_logic; z: out std_logic); end entity architecture dataflow of MUX2a1 is begin z z z z

  • when "11" => z inicializacin aux

  • Otros programas estn incluyendo en sus ltimas versiones la capacidad de programar FPGA usando sus propios lenguajes, pero tambin incluyen mdulos en VHDL, por ejemplo Protel DXP, Labview o Matlab.

  • Bibliografa

    Electrnica digital fundamental (curso profesional, teora y prctica) Antonio Hermosa Donate /edit. Alfaomega.

    Wikipedia libre.

    Electrnica digital. Introduccin a la lgica digital: Teora, problemas y simulacin, 2da. Edicin ACHA, S. CASTRO, M. PREZ, J. y Otros. (Editorial Ra-ma)

    Algebra de Boole para ingeniera tcnica. Alfredo Gil del Rio. Edit. Universidad Politcnica de CA

    VHDL Lenguaje para la descripcin y modelado de circuitos. Fernando Pardo Carpio (Universidad de Valencia)

    Digital Circuits and Logic Design. Prentice Hall, 1976