Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

75
Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Transcript of Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Page 1: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Electrónica DigitalUnidad 1

Ing. Raúl V. Castillo C.

Page 2: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Fundamentos de los sistemas digitales

Page 3: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Magnitudes analógicas y digitales

Circuitos electrónicos

Analógicos Digitales

Page 4: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas electrónicos analógicos

amplificador

Page 5: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas electrónicos digitales

amplificador

Reproductor de CD

D/A

Page 6: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Dígitos binarios (bit)

Lógica positiva

Alto = 1

Bajo = 0 Lógica negativa

Alto = 0

Bajo = 1

Page 7: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Dígitos binarios (bit)

Grupos de bits 0’s y 1’s (Códigos)

Representan:

Números

Letras

Símbolos

Instrucciones

etc.

Page 8: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Ing. Raúl V. Castillo Carrillo

Sistemas Numéricos y Códigos

Page 9: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Definición

Un sistema es un conjunto de elementos que están activa y dinámicamente relacionados para alcanzar un objetivo a través de la manipulación y procesamiento de datos, energía y/o materia de entrada, para entregar información, energía y/o materia como producto final a la salida.

Un sistema digital es una combinación de dispositivos diseñado para manipular cantidades físicas (señales) o información que estén representadas en forma digital; es decir, que sólo puedan tomar valores discretos. Los sistemas digitales emplean solo dos valores discretos, por lo que se dice que son binarios.

Un dígito binario llamado bit tiene dos valores: 0 y 1.

Page 10: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Definición

El sistema binario, en matemáticas e informática, es un sistema de numeración en el que los números se representan utilizando solamente los dígitos cero y uno (0 y 1). Es el que se utiliza en las computadoras, pues trabajan internamente con dos niveles de voltaje, por lo que su sistema de numeración natural es el sistema binario (encendido 1, apagado 0).

Page 11: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Código Binario

El código binario es el sistema de representación de: caracteres en textos, posicionamiento en mecanismos o instrucciones del procesador del computador, entre otros; utilizando el sistema binario (sistema numérico de dos dígitos, o bit: el "0" y el "1"). En informática y telecomunicaciones, el código binario se utiliza con variados métodos de codificación de datos, tales como cadenas de caracteres, o cadenas de bits. Estos métodos pueden ser de ancho fijo o ancho variable.

En un código binario de ancho fijo, cada letra, dígito, u otros símbolos, están representados por una cadena de bits de la misma longitud, como un número binario que, por lo general, aparece en las tablas en notación octal, decimal o hexadecimal.

Page 12: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Conversión entre binario y decimalDecimal a binario

Se divide el número del sistema decimal entre 2, cuyo resultado entero se vuelve a dividir entre 2, y así sucesivamente. Ordenados los restos, del último al primero, este será el número binario que buscamos.

Page 13: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Decimal a binario

Ejemplo Transformar el número decimal 131 a binario.

El método es muy simple: 131 dividido entre 2 da 65 y el residuo es igual a 1 65 dividido entre 2 da 32 y el residuo es igual a 1 32 dividido entre 2 da 16 y el residuo es igual a 0 16 dividido entre 2 da 8 y el residuo es igual a 0

8 dividido entre 2 da 4 y el residuo es igual a 0 4 dividido entre 2 da 2 y el residuo es igual a 0

2 dividido entre 2 da 1 y el residuo es igual a 0 1 dividido entre 2 da 0 y el residuo es igual a 1

Ordenamos los residuos, del último al primero: 10000011 en sistema binario, 131 se escribe 10000011

Page 14: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Decimal a binario

Otra forma de conversión consiste en un método parecido a la factorización en números primos. Es relativamente fácil dividir cualquier número entre 2. Este método consiste también en divisiones sucesivas. Dependiendo de si el número es par o impar, colocaremos un cero o un uno en la columna de la derecha. Si es impar, le restaremos uno y seguiremos dividiendo entre dos, hasta llegar a 1. Después sólo nos queda tomar el último resultado de la columna izquierda (que siempre será 1) y todos los de la columna de la derecha y ordenar los dígitos de abajo a arriba.

Page 15: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Decimal a binarioMétodo de factorización100|0

50|0

25|1 25-1=24 y seguimos dividiendo entre 2

12|0

6|0

3|1 3-1=2 y seguimos dividiendo entre 2

1|1 (100)10 = (1100100)2

Page 16: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Método de distribución

Consiste en distribuir los unos necesarios entre las potencias sucesivas de 2 de modo que su suma resulte ser el número decimal a convertir. Sea por ejemplo el número 151, para el que se necesitarán las 8 primeras potencias de 2, ya que la siguiente, 28=256, es superior al número a convertir. Se comienza poniendo un 1 en 128, por lo que aún faltarán 23, 151 - 128 = 23, para llegar al 151. Este valor se conseguirá distribuyendo unos entre las potencias cuya suma de el resultado buscado y poniendo ceros en el resto. En el ejemplo resultan ser las potencias 4, 2, 1 y 0, esto es, 16, 4, 2 y 1, respectivamente.

Page 17: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Método de distribución

Ejemplo 20= 1|1 21= 2|122= 4|123= 8|024= 16|125= 32|0 26= 64|0 27=128|1 128 + 16 + 4 + 2 + 1 = (151)10 = (10010111)2

Page 18: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Decimal (con decimales) a binario

Para transformar un número del sistema decimal al sistema binario:Se inicia por el lado izquierdo, multiplicando cada número por 2 (si la parte entera es mayor que 0 en binario será 1, y en caso contrario es 0) En caso de ser 1, en la siguiente multiplicación se utilizan sólo los decimales. Después de realizar cada multiplicación, se colocan los números obtenidos en el orden de su obtención. Algunos números se transforman en dígitos periódicos, por ejemplo: el 0,1

Page 19: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Decimal (con decimales) a binario

Ejemplo

0.312510 0.01012

Proceso: 0.3125 2 = 0.625 0

0.625 2 = 1.25 1

0.25 2 = 0.5 0

0.5 2 = 1 1

En orden: 0101 0.01012

Page 20: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Binario a decimal

Para realizar la conversión de binario a decimal, realice lo siguiente:

Inicie por el lado derecho del número en binario, cada número multiplíquelo por 2 y elévelo a la potencia consecutiva (comenzando por la potencia 0).

Después de realizar cada una de las multiplicaciones,

sume todas y el número resultante será el equivalente al sistema decimal.

Page 21: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Binario a decimal

EJEMPLO:

1101012 = 1 25 + 1 24 + 0 23 + 1 22 + 0 21 + 1 20 = 5310

Por lo tanto, 1101012 = 5310

Page 22: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Binario a decimalTambién se puede optar por utilizar los valores que presenta cada posición del número binario a ser transformado, comenzando de derecha a izquierda, y sumando los valores de las posiciones que tienen un 1.

Ejemplo El número binario 1010010 corresponde en decimal al 82 se puede representar de la siguiente manera:

entonces se suman los números 64, 16 y 2:

64 32 16 8 4 2 1

1 0 1 0 0 1 02

64 32 16 8 4 2 1

1 0 1 0 0 1 02 = 64+16+2=82

Page 23: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de numeración y cambio de base

Un sistema de numeración en base b utiliza para representar los números un alfabeto compuesto por b símbolos o cifras

Ejemplos:

b = 10 (decimal) {0,1,2,3,4,5,6,7,8,9}

b = 16 (hexadecimal) {0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F}

b = 2 (binario) {0,1}

El número se expresa mediante una secuencia de cifras:

N ... n4 n3 n2 n1 n0 . n-1 n-2 n-3 ...

El valor de cada cifra depende de la cifra en sí y de la posición que ocupa en la secuencia

Page 24: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de numeración y cambio de base

El valor del número se calcula mediante el polinomio:

N ...+ n3 b3 + n2 b2 + n1 b1 +n0 b0 +n-1 b-1 ...

i

ii bnN

Ejemplos:

3278.5210 = 3 103 + 2 102 + 7 101 +

+ 8 100 + 5 10-1 + 2 10-2

175.3728 = 1 82 + 7 81 + 5 80 + 3 8-1 +

+ 7 8-2 + 2 8-3 = 125.488281210

Page 25: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de numeración y cambio de base

Conversión de decimal a base b

Método de divisiones sucesivas entre la base b

Para números fraccionarios se realizan multiplicaciones sucesivas por la base b.

Consideración de restos mayores que 9 y Error de truncamiento

Page 26: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de numeración y cambio de base

Ejemplos:

Convertir a binario 26,187510

26,187510 = 11010,00112

1 residuo 021

1 residuo 123

0 residuo 326

1 residuo 62

13

0 residuo 13226

810

210

210

210

1 a ecorrespond 1 donde 0.125.0

1 a ecorrespond 1 donde 5.1275.0

0 a ecorrespond 0 donde 75.02375.0

0 a ecorrespond 0 donde 375.021875.0

Page 27: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de numeración y cambio de base

b = 2 (binario)

{0,1}0 0001 0012 0103 0114 1005 1016 1107 111

Decimal Binario

Números binarios del 0 al 7

Rango de representación: Conjunto de valores representable. Con n cifras en la base b podemos formar bn combinaciones distintas. [0..bn-1]

Sistema de numeración en base dos o binario

Page 28: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de numeración y cambio de base

1101002 = (1 25) + (1 24) + (1 22) =

= 25 + 24 + 22 = 32 + 16 + 4 = 5210

0.101002 = 2-1 + 2-3 = (1/2) + (1/8) = 0.62510

10100.0012 = 24 + 22 + 2-3 = 16 + 4 +(1/8)

= 20.12510

Ejemplos:

Page 29: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

Octal

b = 8 (octal) {0,1,2,3,4,5,6,7}

Correspondencia con el binario

8 = 23 Una cifra en octal

corresponde a 3 binarias

Page 30: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

10001101100.110102 = 2154.648

Ejemplos

537.248 = 101011111.0101002

Conversión Decimal - Octal

760.3310 1370.25078

1 residuo 081

3 residuo 1811

7 residuo 11895

0 residuo 958

760

810

810

810

810

7 a ecorrespond 7 donde 68.7896.0

0 a ecorrespond 0 donde 96.0812.0

5 a ecorrespond 5 donde 12.5864.0

2 a ecorrespond 2 donde 64.2833.0

Page 31: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

Hexadecimalb = 16 (hexadecimal)

{0,1,2,3,4,5,6,7,8,9,A,B,C,D,E,F,}

Correspondencia con el binario

16 = 24 Una cifra en hexadecimal

corresponde a 4 binarias

Page 32: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

Hexadecimal Decimal Binario

0 0 00001 1 00012 2 00103 3 00114 4 01005 5 01016 6 01107 7 01118 8 10009 9 1001A 10 1010B 11 1011C 12 1100D 13 1101E 14 1110F 15 1111

Page 33: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números Ejemplos

10010111011111.10111012 = 25DF.BA16

4373.7910 1115.CA3D16

Conversión de Decimal a Hexadecimal

1 residuo 0161

1 residuo 11617

1 residuo 1716273

5 residuo 27316

4373

1610

1610

1610

1610

D a ecorrespond 13 donde 44.131684.0

3 a ecorrespond 3 donde 84.31624.0

A a ecorrespond 10 donde 24.101664.0

C a ecorrespond 12 donde 64.121679.0

Page 34: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

Código no ponderado, continuo y cíclico

Basado en un sistema binario

Dos números sucesivos sólo varían en un bit

Código Gray

Page 35: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

0 0 0 0 0 0 0 0 0 00 1 0 0 1 0 0 0 1 11 1 0 1 1 0 0 1 1 21 0 0 1 0 0 0 1 0 3

1 1 0 0 1 1 0 4 1 1 1 0 1 1 1 5 1 0 1 0 1 0 1 6 1 0 0 0 1 0 0 7

1 1 0 0 81 1 0 1 91 1 1 1 101 1 1 0 111 0 1 0 121 0 1 1 131 0 0 1 141 0 0 0 15

2 bits 3 bits 4 bits Decimal Código Gray

Page 36: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de númerosConversión de Binario a Gray

A partir del primer bit sumamos el bit binario que queremos obtener con el de su izquierda

1 + 0 + 1 + 1 + 0 Binario

1 1 1 0 1 Gray

Page 37: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de númerosConversión de Gray a Binario

1 1 0 1 1

+ + + +

1 0 0 1 0

Page 38: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números Código BCD - Binary Coded Decimal

Dígitos decimales codificados en binario

Decimal BCD natural BCD exceso 3 BCD Aiken BCD 5421

0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 0 0 0 1 2 0 0 1 0 0 1 0 1 0 0 1 0 0 0 1 0 3 0 0 1 1 0 1 1 0 0 0 1 1 0 0 1 1 4 0 1 0 0 0 1 1 1 0 1 0 0 0 1 0 0 5 0 1 0 1 1 0 0 0 1 0 1 1 1 0 0 0 6 0 1 1 0 1 0 0 1 1 1 0 0 1 0 0 1 7 0 1 1 1 1 0 1 0 1 1 0 1 1 0 1 0 8 1 0 0 0 1 0 1 1 1 1 1 0 1 0 1 1 9 1 0 0 1 1 1 0 0 1 1 1 1 1 1 0 0

• BCD natural tiene pesos 8421

• BCD Aiken tiene pesos 2421

Page 39: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

Ejemplo

9 8 3 2 510 = 1001 1000 0011 0010 0101BCD-natural

9 8 3 2 510 = 1111 1110 0011 0010 1011BCD-Aiken

Page 40: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números Representación de números enteros

Es necesario la representación del signo

Se utiliza una cantidad determinada de bits (n)

Signo y magnitud (SM)

El signo se representa en el bit que está más a la izquierda del dato. Bit (n-1)

En el resto de los bits se representa el valor del número en binario natural. Bits (n-2)..0

Doble representación del 0.

Page 41: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

Sistema signo - magnitud

000110012 +2510

Bit de signo Bits de magnitud

100110012 -2510

Bit de signo Bits de magnitud

En este sistema los bits de magnitud para ambos signos, son los mismos

Page 42: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

n = 6

1010 = 001010SM -410 = 100100SM

n = 4

-710 = 1111SM -1410 = no representable

010 = 000000SM -010 = 100000SM

Page 43: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

Complemento a la base menos uno

Los valores positivos se representan en SM.

Los valores negativos se obtienen restando la magnitud del número a la base menos uno.

Convierte las restas en sumas.

Doble representación del 0.

Page 44: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

Ejemplos Base 10

-6310 = 936C9 999 - 63=936

-16 10 = 983C9 999 - 16=983

-16 10 = 9983C9 9999 - 16=9983

n = 3

n = 4

Operación: 77 - 63

14

77-63

+936 C9

077 10

014 10

(1)013+ 1

Page 45: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

Base 2

C1 de -0100102 = 101101C1

C1 de -100111 2 = no representable

C1 de 0 = {000000C1 , 111111C1}

n = 6

Se intercambian ceros por unos y unos por ceros

Rango : [-2n-1 + 1, 2n-1 - 1]

Ejemplos:111111

- 010010

101101

Page 46: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

Operación: 10001112 - 100102

Restando en binario natural

Sumando en C1 (n=8)c

10001112

- 00100102

01101012

010001112

(1)00110100

11101101C1

+

1+

001101012

Page 47: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números Complemento a la base

Los valores positivos se representan en SM.

Los valores negativos se obtienen restando la magnitud del número a la base menos uno y posteriormente sumar uno a la dicha cantidad

Convierte las restas en sumas.

Ejemplos Base 10

Page 48: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

Ejemplos Base 10

-6310 = 937C10 (999 - 63) + 1=937

-16 10 = 984 C10 (999 - 16) + 1=984

-16 10 = 9984 C10 (9999 - 16) + 1=9984

n = 3

n = 4

Operación: 77 - 63

El acarreo, si existe, no se considera

+937077

(1)014

Page 49: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

Base 2

C2 de -100102 = 101110C2n = 6

Se intercambian los ceros y los unos y se suma uno

Rango : [-2n-1, 2n-1 - 1]

Ejemplos:

C2 de -1110010 2 = no representable

111111- 010010

101101C1

+ 1101101

101110C2

Page 50: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

000110012 +2510

Bit de signo Bits de magnitud

111001102

+ 1

11100111 -2510

Bit de signo Bits de magnitud

En este sistema los bits de magnitud para ambos signos, no son los mismos

Sistema del complemento a 2’s

Page 51: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

Operación: 11001 2 - 100102 = 111 2

El acarreo no se considera

0110012

101110C2

(1)0001112

+Operando en C2

(n=6)

Page 52: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

Sistema del complemento a 2’s

Si el bit de signo es 0

26 25 24 23 22 21 20

0 0 0 1 1 0 0 12

16 + 8 + 1 = +2510

Si el bit de signo es 1

27 26 25 24 23 22 21 20

1 1 1 0 0 1 1 12

-(128+64+32+4 + 2 + 1) = -2510

Page 53: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Sistemas de codificación y representación de números

Número = (-1)s (1 + F) a + (2E-127)

Por ejemplo, suponiendo el siguiente número positivo:

1011010010001 = 1,011010010001 212

S E F

S Exponente (E) Mantisa (Parte fraccionaria, F)32 bits

23 bits8 bits1 bit

0 10001011 01101001000100000000000

Números de coma o punto flotante

Page 54: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Principales sistemas de codificación

Código ASCII

(American Standard Code for Information Interchange), es un código de caracteres basado en el alfabeto latino tal como se usa en inglés moderno y otras lenguas occidentales. Creado en 1963 por el Instituto Estadounidense de Estándares Nacionales, o ANSI.

Page 55: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Principales sistemas de codificaciónEl código ASCII es un código alfanumérico internacionalmente aceptado y consta de 128 caracteres que se representan mediante un código de 7 bits. El octavo bit MSB, siempre es cero.

El código ASCII extendido, consta de 128 caracteres adicionales y este código fue adoptado por IBM para sus PC’s.

Page 56: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Principales sistemas de codificación

Page 57: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Principales sistemas de codificación

20 PRINT “A=“,X

Carácter Binario Hexadecimal

2 0110010 32

0 0110000 30

Espacio 0100000 20

P 1010000 50

R 1010010 52

I 1001001 49

N 1001110 4E

----------------------------------------------------------------------------------------

X 1011000 58

ASCII (American Standard Code for Information Interchange)

Page 58: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Método de paridad para detección de errores

Paridad par

P BCD

Paridad impar

P BCD

0 0000

1 0001

1 0010

0 0011

1 0100

0 0101

0 0110

1 0111

1 1000

0 1001

1 0000

0 0001

0 0010

1 0011

0 0100

1 0101

1 0110

0 0111

0 1000

1 1001

Page 59: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Método de paridad para detección de errores

Código transmitido correctamente:

Bit de paridad par

00101

Código BCD Código transmitido incorrectamente:

Bit de paridad par

00001

Código con información errónea

Page 60: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Otros sistemas antiguos de codificaciónCódigo BaudotBaudot inventó su código original en 1870 yla patentó en 1874. Era un código de 5 bits , loque permitió la transmisión telegráfica del alfabeto romano,

puntuación y señales de control . Se basaba enun código anterior desarrollado por Gauss y Weber en 1834.El código fue introducido en un teclado quehabía sólo cinco teclas tipo piano, operaba con dos dedos de la mano izquierda y tres dedos de la mano

derecha.Código de Baudot fue conocido como Alfabeto Internacional N º

1 Telégrafos, Y ya no se utiliza .

Page 61: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Otros sistemas antiguos de codificaciónEvolución de los CódigosEn los primeros días de la computación (1940 's) , se hizo

evidente que las computadoras pueden utilizarse para algo más que el procesamiento de números . Pueden ser utilizadas para almacenar y manipular texto. Esto podría hacerse simplemente por representación de las diferentes letras alfabéticas por números específicos. Por ejemplo, el número 65 para representar la letra "A" , el 66 para representar la "B", y así sucesivamente. Al principio, no había ninguna norma , y las diferentes maneras de representar el texto como números desarrollados, por ejemplo, EBCDIC.

Page 62: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Otros sistemas antiguos de codificaciónCódigo EBCDIC

(Extended Binary Coded Decimal Interchange Code) es un código estándar de 8 bits usado por computadoras mainframe de IBM.

IBM adaptó el EBCDIC del código de tarjetas perforadas en los años 60’s

Page 63: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Otros sistemas antiguos de codificación

Page 64: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Otros sistemas antiguos de codificación Albores de los códigos actualesA finales de 1950 las computadoras eran cada vez más comunes, y

comienza la comunicación entre sí. Había la necesidad urgente de una forma normalizada de representar el texto para que pudiera ser entendida por los diferentes modelos y marcas de computadoras.

Page 65: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Otros sistemas antiguos de codificación

Esto impulsó el desarrollo de la tabla ASCII, publicado por primera vez en 1963, pero basado en las tablas anteriores similares utilizados por los teletipos. Después de varias revisiones, la versión moderna de la tabla ASCII de 7 bits, fue adoptado como estándar por el American National Standards Institute (ANSI ) durante la década de 1960. La versión actual es de 1986 , publicado como ANSI X3.4 - 1986. ACSII expande a " código estándar para el intercambio de información " .

Page 66: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Operaciones con números binariosSuma de números BinariosLas posibles combinaciones al sumar dos bits son: 0 + 0 = 0 0 + 1 = 1 1 + 0 = 1 1 + 1 = 10 al sumar 1+1 siempre nos llevamos 1 a la

siguiente operación

Page 67: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Suma de números Binarios

Ejemplo

10011000

+ 00010101

——————

10101101

Page 68: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Resta de números binarios

El algoritmo de la resta en sistema binario es el mismo que en el sistema decimal. Pero conviene repasar la operación de restar en decimal para comprender la operación binaria, que es más sencilla. Los términos que intervienen en la resta se llaman minuendo, sustraendo y diferencia.Las restas básicas 0 - 0, 1 - 0 y 1 - 1 son evidentes: 0 - 0 = 0 1 - 0 = 1 1 - 1 = 0 0 - 1 = 1 (se transforma en 10 - 1 = 1) (en sistema decimal

equivale a 2 - 1 = 1) La resta 0 - 1 se resuelve, igual que en el sistema decimal, tomando una unidad prestada de la posición siguiente: 0 - 1 = 1 y me llevo 1, lo que equivale a decir en el sistema decimal, 2 - 1 = 1.

Page 69: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Resta de números binarios

Ejemplos

10001 11011001

-01010 -10101011

———— —————

00111 00101110

En sistema decimal sería: 17 - 10 = 7 y 217 - 171 = 46.

Page 70: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Resta de números binarios

Para simplificar las restas y reducir la posibilidad de cometer errores hay varios métodos:

Dividir los números largos en grupos. En el siguiente ejemplo, vemos cómo se divide una resta larga en tres restas cortas:

100110011101 1001 1001 1101 -010101110010 -0101 -0111 -0010 ———————= ——— —— —— 010000101011 0100 0010 1011

Page 71: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Producto de números binarios

El algoritmo del producto en binario es igual que en números decimales; aunque se lleva cabo con más sencillez, ya que el 0 multiplicado por cualquier número da 0, y el 1 es el elemento neutro del producto.

Page 72: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Producto de números binarios

Multiplicando 1111

Multiplicador x 1101

Primer producto parcial 1111

Segundo producto parcial 0000

Acarreo 0000

Suma de productos parciales 1111

Tercer producto parcial 1111

Acarreo 111100

Suma de productos parciales 1001011

Cuarto producto parcial 1111

Acarreo 1111000

Producto Final 11000011

Page 73: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

Producto de números binarios

Por ejemplo, multipliquemos 10110 por 1001:

10110

1001

—————————

10110

00000

00000

10110

—————————

11000110

Page 74: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

División de números binarios

La división en binario es similar a la decimal, la única diferencia es que a la hora de hacer las restas, dentro de la división, estas deben ser realizadas en binario

Page 75: Electrónica Digital Unidad 1 Ing. Raúl V. Castillo C.

División de números binarios

000111Cociente

Divisor 101 100011 Dividendo

101

111Residuo

101

101Residuo

101

0Residuo