Exámenes automática

download Exámenes automática

of 199

description

exámenes automática, grado de ingeniería mecánica de la universidad de la coruña

Transcript of Exámenes automática

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (05/06)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    Se utiliza un dispositivo de rastreo digital de rayos X para inspeccionar tarjetas de circuitos impresos, montados en una plataforma X-Y accionada por un tornillo, como se muestra en la figura a). La posicin de la plataforma o referencia es calculada por un computador. La figura b) muestra el diagrama de bloques del control proporcional (F

    ) de uno de los ejes de la plataforma. S

    representa la dinmica del motor y la plataforma. Para el sistema realimentado de la figura b), caracterizar la evolucin temporal de la salida ante una perturbacin de escaln unitario (puede considerar un equivalente reducido). El regulador es de ganancia unitario.

    Los trenes de levitacin magntica circulan suspendidos en el aire, sin contacto fsico con el suelo. El objetivo es alcanzar grandes velocidades con un consumo bajo, ya que el nico rozamiento es el aerodinmico. La levitacin magntica se consigue gracias a unas bobinas que producen una fuerza de levitacin que se

    puede aproximar segn la ecuacin ( ) ( )( )

    SO 2

    2

    = . El peso del

    tren se opone a esta fuerza. El conjunto total de estas fuerzas determinan el movimiento vertical del tren. Para poder ajustar el nivel de levitacin a un valor de referencia, UHI

    , se disea un sistema de control que consta de los siguientes elementos:

    Un sensor que mide el nivel de levitacin, se supone instantneo y de ganancia unidad.

    Un comparador que calcula el error entre el valor de referencia y la medida del sensor.

    Un compensador que a partir de la seal de error, genera una seal que ataca a la unidad de potencia.

    Una etapa de potencia que dado un valor a su entrada, aplica una corriente a las bobinas de forma instantnea y con ganancia unidad.

    1. Determinar el punto de reposo de levitacin,

    , si el valor de la corriente por las bobinas es de 50A.

    2. Obtener la funcin de transferencia ( )( )

    ,

    linealizada en el punto de reposo del apartado anterior.

    3. Representar el diagrama a bloques del sistema de control de levitacin. 4. Si el compensador es un regulador proporcional, , determinar el trazado directo del lugar de las races a partir

    del modelo linealizado. Para qu valores de se hace estable?. 5. Cambiando el compensador a un regulador proporcional-derivativo, PD, de la forma ( ) ( )GF

    += 1 , con un tiempo del derivador de 1 segundo, dibujar el nuevo trazado directo del lugar de races y para qu valores de

    se hace estable.

    M = 1000 kg (Masa del tren), g 10 m/s2, kp=0.04 Nm2/A2

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (05/06)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    El sistema de la figura representa un servomecanismo de posicin cuyo objetivo es que el ngulo del eje ( ) siga lo mejor posible a la referencia . Para ello, el ngulo se codifica mediante un una tensin

    que se compara con la tensin obtenida a partir del ngulo mediante un potencimetro lineal cuya constante es de

    210

    .La

    diferencia entre ambas tensiones se amplifica con ganancia para proporcionar la tensin que alimenta el motor de corriente continua.

    El diagrama de bloques una vez simplificado y reducido puede expresarse como:

    1.- Calcular el valor que debe tomar K para lograr un error de posicin de 1. Es posible ajustar K para lograr un error de velocidad de 2? Si, es as, con que valor? (Nota: el error se indica en grados, mientras que la constante del potencimetro est en radianes).

    2.- Para un valor de K=0.25, obtener el diagrama de Bode y el diagrama polar del sistema en cadena abierta.

    3.- Cuantificar grficamente para K=0.25 la estabilidad relativa del sistema en cadena cerrada. Es un sistema oscilatorio? Cunto se podra aumentar K sin que el sistema se vuelva inestable?.

    Anguko deseado

    Potencimetro

    Amplificador Motor CC + Carga

    ))25.6)(1(5.37++

    210

    U

    G

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (05/06)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    La FDT entre la salida y la perturbacin ser:

    ( ) ( )( )( )( )22

    4+

    +==

    3

    Por las proximidades entre el cero de -4 y el polo doble de -2 se podra obtener un equivalente reducido:

    ( ) ( )2+

    3HT

    Ante una entrada en escaln unitario de la perturbacin, la evolucin de la salida corresponder a: ( ) W 2=

    Simulacin entre la respuesta real y la obtenida por el equivalente reducido:

    1. En el punto de reposo, la fuerza de levitacin se iguala a la de gravitacin, por tanto:

    S 1.020

    0 ==

    2. La dinmica es no lineal debido a la fuerza de levitacin. Linealizando alrededor del punto de reposo definido, el conjunto de ecuaciones algebro-diferenciales, en incrementos, quedar:

    ( ) ( )( ) ( ) ( )

    SSO

    O

    +

    =

    =

    03

    2

    02

    22

    Dando valores y aplicando transformadas de Laplace, la FDT estar definida por: ( )( ) 200

    4.02 +

    =

    3.

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (05/06)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    4. R1: Nmero ramas 2 R2: k=0 s=j14.14 R3: Ramas del eje real: no existen R4: Simetra

    ( )22

    12:5 =+= D

    020

    :6 ==DD

    R7: ngulo de salida:

    ( )2

    122 11

    =+=

    +

    R8: Punto de dispersin: no se aplica R9: s2+200+0.4k s2 1 200+0.4k s1 0 s0 200+0.4k Para cualquier valor de k no es estable.

    5. R1: Nmero ramas 2 R2: k=0 s=j14.14, k= s=-1 R3: Ramas del eje real: Desde s =-1 hacia el - R4: Simetra

    ( )

    =

    +=

    1212

    :5 D

    112

    1:6 =

    =DD

    R7: ngulo de salida:

    ( ) 184122 11

    =+=

    +

    R8: Punto de dispersin R9: s2+0.4ks+200+0.4k s2 1 200+0.4k s1 0.4k s0 200+0.4k Para cualquier valor de k que sea mayor a cero es estable.

  • Regulacin Automtica II Febrero 08 Soluciones

    Problema 2 (45 minutos) Se pretende controlar una planta G(s) cuyo modelo puede reducirse a un sistema de primer orden con ganancia esttica unidad y frecuencia de corte en 10 rad/seg. Dicho control se realiza inicialmente mediante computador en cadena abierta con un periodo de muestreo de 0.1 sg y bloqueador de orden cero.

    a) Justifique sin realizar ningn clculo previo acerca del nmero de polos y su disposicin en el plano

    Z del equivalente discreto de dicha planta. Posteriormente calcule dicho equivalente y compruebe las afirmaciones realizadas a priori. Dibuje con detalle la salida del sistema cuando el ordenador introduce un escaln como entrada a la planta. El tiempo de muestro est mal elegido y no corresponde con los criterios ingenieriles (van a existir 3 muestras antes de la llegada al establecimiento). En consecuencia el equivalente discreto tendr el polo real ms cercano al origen que a la unidad.

    10 0.6321( ) ( )10 0.3679

    G s G zs z

    = =+ b) Se considera ahora un nuevo equivalente discreto :

    0.06321( )( 0.3679)( 0.9)

    G zz z

    = Para un control proporcional en cadena cerrada, determnese los valores de K para los que el sistema es estable e indique el valor aproximado de la salida para una muestra muy alta ante entrada escaln unitario cuando K=3 y cuando K= 11. Valores que hacen el sistema estable: 0 10.6k< < (aplicando Jury ) 1-) K=3:

    1

    1 0, 251 3 lim ( )

    z

    epG z

    = =+ .

    La amplitud de la salida para muestras muy altas ser de 1

    lim ( )z

    G z ep = 0,75. 2-) K=11. Al estar K fuera de los lmites de estabilidad la amplitud tiende a infinito

  • Regulacin Automtica II Febrero 08 Soluciones

    c) Para un valor del control proporcional igual a 3, determine la muestra de pico y la sobreoscilacin ante entrada escaln unitario.

    Los polos complejos conjugados para K=3 estn en 0 634 0 345. . j . 6 36 3 7 0 128 13pn .p pn : . M p . %

    = = =

    El clculo tambin se puede realizar de forma directa: Se calcula primero la transformada Z de la salida a partir del esquema de control y despus se realiza la divisin entre numerador y denominador (en potencias de Z-1) hasta que se encuentre la primera amplitud decreciente. Este mtodo manual es, sin duda, ms laborioso pero sera necesario aplicarlo para plantas con ms de dos polos. En este caso la muestra de pico es la sptima muestra y Mp=13,2% como indica la figura.

  • Soluciones Regulacin Automtica II Convocatoria extraordinaria Febrero 2009 Problema 2 (50 minutos)

    1) Un sistema discreto viene dado por la siguiente ecuacin en diferencias:

    1 23k k ky y y u k= + + a) Es dicho sistema causal? Estudie su estabilidad a partir de los valores de la secuencia de ponderacin. b) Compruebe el resultado obtenido analizando la funcin de transferencia (Z). c) Calcule los 4 primeros trminos de la secuencia de ponderacin empleando el mtodo de divisin larga y compruebe la consistencia con los valores previamente obtenidos en el apartado a). d) Determine la transformada discreta de Fourier y Laplace de la secuencia de ponderacin.

    a) El sistema es causal (ver teora). La secuencia de ponderacin es la salida ante entrada { }k . Dando valores se comprueba que lim 0nx g luego el sistema es inestable.

    109103304

    3331003

    101302

    30101

    10010

    gkgk-2gk-1k

    109103304

    3331003

    101302

    30101

    10010

    gkgk-2gk-1k k

    b) Haciendo la transformada Z a cada lado de la ecuacin en diferencias del sistema seo

    obtiene que: 2

    2

    ( )( ) 3 1

    Y z zU z z z

    = que tiene un polo fuera del crculo unidad (z=3.30), por lo que el sistema es inestable. c) La transformada Z de la secuencia de ponderacin coincide con la ecuacin caracterstica del sistema. Para el mtodo de la divisin larga es imprescindible que la funcin Z se encuentre en potencias de z-1.

    d) { } 2 31 3 10 33s s skL g e e e = + + + +" { } 2 31 3 10 33jw jw jwkF g e e e = + + + +"

    1 21 3 2z z 11 2 31 3 10 33z z z + + + +"1 21 3 2z z

    1 23z z +1 2 33 9 3z z z

    2 310 3z z +2 3 410 30 20z z z

    3 433 20z z +

  • Soluciones Regulacin Automtica II Convocatoria extraordinaria Febrero 2009 2) Un modelo de planta discreta viene dado por

    12( ) 1( 1)( )( 1)2

    zG z

    z z z

    +=

    +

    Se pide: a) Suponiendo que sea estable, puede seguir este sistema a una rampa discreta en cadena cerrada? Justifique la respuesta. b) Dibuje de forma esquemtica el lugar de las races directo c) Determine los valores del compensador proporcional que hacen el sistema estable en cadena cerrada (considere la realimentacin unitaria y la entrada { } { }1k ku = d) Determine los 3 primeros valores de la salida para una compensacin proporcional unitaria en el sistema del apartado c). a) El sistema es de primer orden (puesto que tiene un polo en z=1). Esto implica que si es estable responder con un error en rgimen permanente ante entrada rampa. b)

    c) El polinomio caracterstico es p(z)= 3 21 11 12 2

    z z ( k )z ( k ) + + + Aplicando Jury: 0

  • Soluciones Regulacin Automtica II Convocatoria extraordinaria Febrero 2009

    2 3

    3 2 1 3

    2 3 2 3

    1 1 11

    1 3 1 2 3

    1 12 2

    1 11 12 2

    1 112 2

    1 3 111 12 2 2

    ( z ) z zM( z )

    z z z z

    z z z zY( z ) M( z )U( z )

    zz z z z z z

    4

    + +=

    + +

    + += = = + +

    Puesto que solo se pide el tercer trmino es evidente que el primer trmino del cociente de Y(z-1) es z-2 con lo que el tercer trmino de la salida es 1. Solucin: {yk} ={0,0,1,} El sistema es inestable para K=1. La forma de la salida es:

  • Regulacin Automtica II Febrero 2010

    Problema 2 (50 minutos) (5 puntos)

    1) Un sistema S responde ante una secuencia escaln unitario{1k} con la secuencia {0,1,3,3,}. a) Determine la ecuacin en diferencias que representa S La ecuacin caracterstica puede obtenerse (entre otras formas) a partir de la trasformada Z de las seales de entrada {uk} y salida {yk}:

    1 2

    2

    1 1 21 2

    ( ) 2( ) ( ) 3 ( )1 1 ( )

    ( ) 2 2 ( )k k k

    z z Y z zU z Y z z z G zz z U z zDe G z z z y u u I

    += = + = = = + = +

    b) Obtenga el valor de los elementos de la secuencia de salida ante una entrada {1,2,3 } A partir de la ecuacin en diferencias se obtiene la secuencia de salida dando valores de manera trivial:

    k uk uk-1 uk-2 yk 0 1 0 0 0 1 2 1 0 1 2 3 2 1 4 3 0 3 2 7 4 0 0 3 6 5 0 0 0 0

    yk= 1 22k ku u + ={0,1,4,7,6,0,0,}

    Tambin se poda haber llegado al mismo resultado calculando la secuencia de ponderacin gk por convolucin a partir de la entrada y salida del apartado 1. A partir de gk, la salida pedida (aplicando linealidad) es yk={gk}+2{gk-1}+3{gk-2}

    c) Determine la transformada discreta de Fourier y Laplace de la secuencia de ponderacin de S. Primeramente se obtienen los trminos de la secuencia ponderatriz que correspondern con la salida del sistema ante entrada impulso discreto { }k .

    k k 1k 2k gk 0 1 0 0 0 1 0 1 0 1 2 0 0 1 2 3 0 0 0 0

    gk={0,1,2,0,0} (Nota: Se poda obtener directamente de (I) ) Las transformadas discretas de Fourier y Laplace son, por tanto,

    F({gk})= 22jw jwe e + L({gk})= 22s se e +

  • Regulacin Automtica II Febrero 2010

    2) Un modelo de planta discreta viene dado por

    ( ) 2( 1)( )( 1)3

    zG zz z z

    =+

    Se pide: a) Suponiendo que sea estable, puede seguir este sistema a una rampa discreta en cadena cerrada? Justifique la respuesta. Sin realizar ningn clculo determine asimismo el retardo del sistema. El sistema es de orden uno (polo en z=1) luego puede seguir a la rampa con un error en rgimen permanente constante, siempre que sea estable. El retardo del sistema es 2 (orden del denominador dos unidades superior al numerador). Esto implica que la salida del sistema (en cadena abierta) presentar al menos dos muestras nulas ante cualquier entrada. b) Dibuje de forma esquemtica el lugar de las races directo indicando el centroide y la zona de estabilidad (si la hubiere).

    Centroide:

    21 1 0 133 1 3p z

    Re( p ) Re( z )n n

    + = = =

    Se observa que existe un intevalo de ganancias [0,Kc] donde el sistema es estable (todos los polos se encuentran dentro de la circunferencia unidad). c) Determine los valores del compensador proporcional que hacen el sistema estable en cadena cerrada (considere la realimentacin unitaria y la entrada el escaln unitario). El polinomio caracterstico en cadena cerrada es

    3 22 2 21 1 13 3 3

    p( z ) D( z ) k N( z ) ( z )( z )( z ) k z z z ( k )z= + = + + = + +

  • Regulacin Automtica II Febrero 2010

    Aplicando Jury se obtiene una ganancia del compensador proporcional para el lmite de estabilidad de 1 11k , Solucin: El sistema ser estable para valores 0 111k ,< <

  • EXAMEN DE JUNIO DE REGULACIN AUTOMTICA II (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    Transductor P/V CAD

    Computador CDA

    Bomba

    Tanque

    Caudal de entrada

    Caudal de salida

    referencia

    Obtener la FDT del conjunto en el dominio en Z:

    (20 minutos)

    El esquema de la figura representa el control de presin sobre un depsito. Se desea que el margen de fase sea de aproximadamente de 60 y que el error al escaln tenga un valor mximo del 5%. Se pide:

    a) Diseo del compensador considerando que las frecuencias analgicas y digitales son prcticamente idnticas. b) Seleccin del periodo de muestreo c) Discretizacin del regulador

    obtenido mediante el operador derivada y el operador bilineal.

    Datos: Amplificador: A=10 Bomba y tanque:

    ( )16.1

    12 ++

    =

    S

    Transductor presin/tensin: H(s) = 1

    (50 minutos)

    Dado el sistema de la figura:

    Se pide: 1.- Para 5

    =)( , obtener el rango de valores de que hacen estable el sistema. 2.- Obtener el Lugar de las Races del sistema para valores positivos de K y describir razonadamente la variacin que se observara en el comportamiento del mismo durante la evolucin de K. 3.- Para caracterizar la respuesta del sistema y dibujarla de forma aproximada. 4.- Un regulador PI discreto viene determinado por la ecuacin:

    1)(

    =

    5

    Calcular el valor de y de a que sitan el punto de funcionamiento del sistema en 331.074,0 . (50 minutos)

    )(5

    )857.0)(623.0(512.0

    B0

    T = 1s 1

  • EXAMEN DE JUNIO DE REGULACIN AUTOMTICA II (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    ( ) ( ) ( )1

    11

    1lim111 101

    21

    0

    =

    =

    =

    V7

    V

    3

    a) De la condicin de precisin se sabe que la ganancia esttica del compensador debe ser al menos de 2. La FDT de la

    cadena abierta ser: ( ) ( )16.1

    200 2 ++=

    SF

    . Realizando su Bode se calcular la frecuencia de cruce y el margen

    de fase:

    -60

    -40

    -20

    0

    20

    40

    Mag

    nitude

    (dB)

    10-2

    10-1

    100

    101

    102

    -180

    -135

    -90

    -45

    0

    Phas

    e (de

    g)

    System: gp Phase Margin (deg): 20.8

    Delay Margin (sec): 0.0818 At frequency (rad/sec): 4.44

    Closed Loop Stable? Yes

    Bode Diagram

    Frequency (rad/sec)

    Se introduce una RAF y se sigue el procedimiento, obteniendo ( )059.01348.012

    F +

    += .

    b) La FDT de la cadena cerrada es ( )21619.8095.1059.0

    )348.01(2023 +++

    +=

    , cuyas races son -4.1 y -7.23j5.89,

    luego el periodo es de 10 ms.

    c) Operador derivada: ( )855.097.037.10

    =

    F

    Operador bilineal: ( )84.097.003.11

    =

    F

  • Regulacin Automtica II Final de junio 04/05

    Problema 1 (60 minutos) Para mejorar el rendimiento de los motores de explosin, en algunas ocasiones se suele recurrir a la utilizacin de sobrepresiones en la alimentacin (masa de aire en la combustin). Una de las alternativas

    r de turbinas que utilizando la energa residual de los gases de escape consiguen comprimir el aire de admisin. La posicin

    es el uso de turbo-compresores, formados por un pa

    de los labes de la turbina puede

    tardo ante la respuesta

    )

    modificarse mediante un sistema regulado consiguiendo una mejor respuesta del motor en todo el margen de revoluciones. El sistema dispone de un reante un golpe de gas (posicin del acelerador) denominado turbo-lag de unos 3 s. Un modelo simplificado pudiera ser el siguiente:

    ( )( )( 2065.1180)( =sG +++ sss

    Para mejorar el comportamiento, y disminuir as, el

    jar el esquema de control a utilizar. Obtener el lugar de las races y comprobar si para

    2. un proporcional no fuese suficiente, recurrir a una red de adelanto de fase

    3. ra

    4. a frecuencia de cruce de ganancia y el margen de fase.

    Suponiendo que el regulador obtenido anteriormente fuese

    tiempo de respuesta, se propone la utilizacin de un regulador cerrando el lazo con realimentacin unitaria.

    Se pide: 1. Dibu

    disminuir el tiempo de respuesta hasta 0.5 s es suficiente con actuar solamente con la ganancia del lazo abierto. Si la accin de (cancelacin del segundo polo), considerando que la sobreoscilacin no puede superar el 5%. Calcular el error ante entrada escaln y en el caso de no ser nulo, plantear cmo se podcorregir. Calcular l

    15310 +

    +=Gss

    c

    5. Dado que el algoritmo de control deber ser implementado por uno de los sistemas

    6. l diagrama de bloques resultante.

    microprocesador que lleva a bordo el vehculo, deber obtenerse el regulador discreto correspondiente. Elegir el tiempo de muestreo mximo adecuado si los polos de la cadena cerrada estuvieran situados en el eje real en -20, -10 y -4. Obtener el sistema discreto equivalente (BG(z)). Dibujar e

  • Regulacin Automtica II Final de junio 04/05

    SOLUCIN AL PROBLEMA 1 1.

    -

    + )(sG )(sGc

  • Regulacin Automtica II Final de junio 04/05

    28.65.0 ===

    sts por lo que con la ganancia del lazo abierto (proporcional) no es posible situar los polos en 6.28 2.

    tgp eM

    === 05.0%5 32.46= 576.628.6 == tgd

    576.628.6 jS =

    61.2528.620

    576.6

    44.92628.6

    576.6180

    1265.128.6

    576.6180

    20

    6

    5.1

    ==

    ==

    ==

    arctg

    arctg

    arctg

    4.5518028.2285.9227.120

    1802065.1=++=

    =++ c

    c

    abc = 39.28== cba

    45.1845.37

    81.228.6 =+=tg

    a

    83.1321.15

    58.613.8

    20

    6

    5.1

    ====

    adddd

    18.171058.6

    83.1321.1558.613.8 ===z

    pLDR d

    dK

    5.9180

    18.1710 ==cK

    45.1865.9 +

    +=++=

    ss

    asbsKG cc

    3.

  • Regulacin Automtica II Final de junio 04/05

    ( )( )( ) 081.32065.1180

    45.1865.9lim

    0=++++

    += sssssK

    sp

    %5.24081.31

    11

    1 =+=+= pp Ke

    El error puede atenuarse introduciendo una red de retraso de fase para aumentar la ganancia en rgimen permanente. 4. sradg /4= 5.85= 5. De los tres polos, el que va a fijar la constante predominante ser el ms lento de ellos por lo que el periodo de muestreo lo calculamos en funcin de ste:

    msT 3.33301

    10===

    ( )( ) 6.0

    9049.04.8)()(112

    ==+= z

    zsGzGzz

    Ts

    6.

    ( )( )( )( )( )5138.08189.09513.02115.0993.200088705.0)(

    ++=zzzzzzBG

  • Regulacin Automtica II Final de junio 04/05

    PROBLEMA 2 (60 minutos) Dado el esquema de control que muestra la figura se pretende analizar el comportamiento del sistema ante una compensacin de tipo proporcional.

    Calcular:

    A) Valores de K del compensador para que el sistema sea estable. B) Hallar K para que existan polos conjugados (0,75 0,25j) en lazo cerrado. Determinar M(z) =

    Y(z)/ X(z) para dicho valor. C) Hallar el sistema reducido equivalente de M(z) y calcular los parmetros que definen la

    respuesta del sistema ante entrada escaln: np, nr, Mp y ns. D) Estimar si la aproximacin hecha en el apartado anterior es razonable. E) Calcular para el sistema sin reducir M(z) la muestra de pico y el valor de pico de la salida ante

    entrada impulso ( ,...}0,0,1{=k )

    )16/5)(1( 2 + ZZZZ )(ZR

    -+X(Z) Y(Z)

  • Regulacin Automtica II Final de junio 04/05

    SOLUCIN AL PROBLEMA 2 A) El sistema equivalente en cadena cerrada es

    KzZzz

    KzzM++

    =)

    165)(1(

    )(2

    con lo que ser estable para aquellos valores de K que hagan que las races del polinomio denominador se encuentren dentro del intervalo [-1,1]. El mtodo algebraico habitual que se aplica es el criterio de Routh que da como solucin el intervalo de estabilidad 0

  • Regulacin Automtica II Final de junio 04/05

    C) El sistema reducido equivalente simplifica el polo en z=1/2 manteniendo la ganancia esttica del sistema y el retardo en la respuesta (diferencia de grados de los polinomios denominador y numerador).

    8/55,112,0

    2/111

    8/55,106,0)( 22 +=+=

    zzzzZM

    Para este sistema,los parmetros que definen la respuesta ante entrada escaln son: Mp= p

    np = 0,09 = 9%

    np= + qr = 9,33 + qr = muestra 10

    ns = + qr = 13,09 + qr = muestra 14

    nr = + qr = 7,36 + qr = muestra 8

    D) Para que la reduccin sea razonable se tiene que cumplir que:

    jjr PPP 1

    11 para todos los polos del sistema restantes (Pr)

    En este caso Pr=0,75+0,25j y Pj = luego:

    22 25,025,01+ = 2,828 22/11

    1 = de donde se deduce que la reduccin realizada es bastante mala.

    E) La salida del sistema ante entrada pulso ser la propia M(Z). Para hallar los datos pedidos es necesario calcular su antitransformada y para ello utilizaremos el mtodo de la divisin larga:

    M(Z-1)= 3212

    31,037,12106,0

    +

    zzzZ

    ...732,279,262,2231,037,121

    65432321

    2 +++++=+

    zzzzZzzzZ

    M(Z-1)=Y(Z)=0,06[ ]

    0,06z...732,279,262,22 65432 +++++ zzzzZ

    -2+0,12z-3+0,16z-4+0,17z-5+0,16z-6+ Por tanto la muestra donde se alcanza el valor de pico es la quinta y el valor de pico de la salida es 0,17.

  • Regulacin Automtica II (Soluciones) Junio 2007

    EJERCICIO 2 (40 minutos)

    a) El LDR es:

    25.0=

    =zp nn

    cerosderealPartepolosderealParte Punto de dispersin: k (por tanteo sobre el criterio del mdulo)

    El polinomio caracterstico es: p(z) =

    15.0

    21)1(

    21 23 ++ zkzz

    Los lmites de estabilidad se obtienen aplicando directamente el Criterio de Jury. RESULTADO: Valores de K tal que

    230

  • Regulacin Automtica II (Soluciones) Junio 2007

    d) El error en el permanente se calcula mediante superposicin y aplicando la teora de errores. Dado que el orden del sistema es 1 (un polo en 1) es claro que para cualquier combinacin lineal del escaln unitario (

    1zz ) el valor final del error

    ser cero. Por tanto, solo existe error debido a la componente rampa de la entrada:

    vv Ke 13 =

    kzzzk

    K

    z

    v1

    )5.0)(1(

    1

    1

    =+

    =

    =

    RESULTADO: kev = 3

  • Regulacin Automtica II Febrero 07/08

    Problema 2 (50 minutos)

    Se pretende controlar una planta G(s) cuyo modelo puede reducirse a un sistema de primer orden con ganancia esttica unidad y una frecuencia de corte de 1/ Hz.. Dicho control se realiza en cadena cerrada mediante computador con un periodo de muestro de 0.2sg y bloqueador de orden cero.

    a) Justifquese sin realizar ningn clculo previo el nmero de polos y su disposicin en el

    plano Z del equivalente discreto de dicha planta. Indique si existir algn polo cerca de z=1 en dicho equivalente. Dibuje aproximadamente la salida del sistema cuando el ordenador introduce un escaln como entrada a la planta.

    Puesto que el period de muestreo est lejos de las consideraciones ingenieriles, el polo en Z estar lejo

    b) Calclese el equivalente validez de las

    c) Se considera ahora un nue

    Calclese los valores del comportamiento del sistemrespuesta del sistema ante

    2K Paso a siste

    Sistema crt5K

    K=2o

    s de la unidad.

    discreto de la planta en los supuestos anteriores y compruebe la conclusiones obtenidas en el apartado anterior.

    c

    m0.33( )( 0.67)

    G zz

    vo equivalente discreto:

    ontrolador proporcional que determinan un cambio en el a ante entrada escaln unitario. Dibuje aproximadamente la

    entrada escaln en cada caso.

    a de segundo orden subamortiguado (polo en el origen). icamente amortiguado.

    0.33( )( 0.67)

    G zz

    =

    K=5

  • Regulacin Automtica II Febrero 07/08

    d) Determnese la muestra de pico y la sobreoscilacin del sistema para un valor del regulador proporcional de K=3,55 ante entrada escaln unitario.

    Aplicando el criterio del mdulo:

    3.55 0.33 1.17 0.5 /0.33

    pp

    dK d Polo en rad seg= =

    50% 1p pM a n= = =

    e) Se considera adecuado para compensar un sistema continuo el siguiente regulador:

    Discretcese dicho regulador empleando la aproximacin del operador derivada y la integracin trapezoidal. Tmese como periodo de muestreo T=0.1sg.

    3( )0.5

    sR ss+= +

    1.3 1 0.77( ) 1.24

    1.05 1 0.95z zR zz z = para la aproximacin del operador derivada

    0.74( ) 1.120.95

    zR zz para la aproximacin trapezoidal

  • Regulacin Automtica II Junio 09/10

    Problema 1 (50 minutos) (5 puntos) Un sistema puede modelarse por el comportamiento de dos polos, uno en el origen y otro de ganancia 2 unidades a una frecuencia de corte de 5/ Hz. El actuador que

    gobierna dicho sistema responde a una funcin de transferencia: 5

    1)( += ssGa Se pide:

    a) Suponiendo el sistema en lazo cerrado con realimentacin unitaria, calcular la ganancia esttica adicional necesaria para que la constante de error ante entrada en rampa sea 8.

    b) Margen de fase y pulsacin de cruce de ganancia en las condiciones del apartado anterior.

    c) Con la inclusin de una red se pretende conseguir un margen de fase de 65 y que la g no decaiga de 5 rad/s. Elegir y justificar la eleccin de la red.

    d) Disear el regulador elegido en el apartado anterior corroborando la especificacin de rapidez.

    e) Considerando que el sistema una vez compensado, funcionando en lazo cerrado tiene un polo dominante en s=-10, obtener el regulador discreto equivalente del calculado en el apartado anterior tomando como periodo de muestreo T = 100 ms (transformada bilinieal). Discutir la validez del regulador obtenido justificando la respuesta.

    Problema 2 (50 minutos) (5 puntos)

    1) Un sistema G responde ante una secuencia escaln unitario{1k} con la secuencia {0,1,4,4,4}. a) Determine la ecuacin caracterstica del sistema (G(z)) b) A partir de la ecuacin caracterstica (obtenida en el apartado anterior) determine la ecuacin en diferencias que representa al sistema c) Obtenga la secuencia de ponderacin del sistema a partir de G(z). Calcule por convolucin la respuesta ante entrada {1k}. 2) Para el sistema que aparece a continuacin

    2

    3( )( 0,4)( 0,8 0,41)

    zG zz z z

    = + Se pide: a) Determine el sistema equivalente reducido. b) Valore la aproximacin obtenida. c) Compare la muestra de pico del sistema reducido con la del sistema original.

  • Regulacin Automtica II Junio 09/10

    Solucin Ejercicio 2 1)

    a) U(z)=1

    zz

    1 2 12

    4 3 34 11 1 1

    z z Y( z ) zY( z ) z z z ( )z z z( z ) U( z ) z

    + += + = + = = b) Ec. en diferencias: 1 1 2 1 23 3k kG( z ) z z y u u = + = + c) A la vista de G(z-1): { }0 1 3 0 0 0kg , , , , , ,...=

    Convolucin ante entrada escaln:

    0 0 0

    1 0 1 1 0

    2 0 2 1 1 2 0

    3 0 3 1 2 2 1 3 0

    0

    01

    1 3 41 3 4

    k k

    y g uy g u g uy g u g u g uy g u g u g u g u....

    y g u

    = == + == + + = + == + + + = + =

    = 1 1 2 2 3k kg u g u g u + + + 0 1 3 4+ = + ="

    2) El sistema reducido equivalente es: a) y b) l 2 2

    3 1 1 50 8 0 41 1 0 4 0 8 0 41

    zG( z )( z , z , ) ( , ) z ( z , z , )

    = = + +

    La calidad de la aproximacin depende de que 1 1 1 /1 rr i r i

    a r ip p p p

    Luego 1 1 1 20,4 0,5 0,4 0,4 0,5 1 0,4j j

    + + 2,60 La reduccin no es adecuada c) Sistema reducido: 0 4 0 5 3.5 4

    0.8961p pn P , , j n = = + = =

    Sistema original: No es posible aplicar las frmulas para sistemas de segundo orden por lo que es necesario obtener la salida de forma analtica: 1-

    1zY( z ) G( z )

    z=

    2

    2 2

    3 3( )( 0,4)( 0,8 0,41) ( 1) ( 0,4)( 0,8 0,41)( 1)

    z z zY zz z z z z z z z

    = = + +

    2-

    2 21

    2 4 3 2

    2

    1 2 3 4

    3 30 4 0 8 0 41 1 2 2 1 93 0 9 0 16

    31 2 2 1 93 0 9 0 16

    z zY( z )( z , )( z , z , )( z ) z , z , z . z ,

    z, z , z . z , z

    = = = + + += + +

    Aplicando el mtodo de la divisin entera:

    2 2 3 4 5 61 2 3 4 2 2 2 91 3 33 2 911 2 2 1 93 0 9 0 16

    z z , z , z , z , z, z , z . z , z

    = + + + + + + " Luego la muestra de pico es 5pn = , una muestra mayor que en el sistema reducido

  • EXAMEN DE SEPTIEMBRE DE REGULACIN AUTOMTICA II (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    El control de una tostadora de pan est basado en un sensor de infrarrojo. La potencia calorfica dada por la resistencia elctrica esta dada por la siguiente ecuacin linealizada:

    2=+ Donde u es la tensin aplicada a la resistencia. El transductor convierte, mediante una equivalencia entre la potencia calorfica y los luxes del infrarrojo recibido en el sensor, en una seal acondicionada de tensin. ste se aproxima a un sistema de primer orden, con un tiempo de establecimiento de 1.5 segundos y una ganancia esttica de 2. Se pide:

    a) Diagrama a bloques del sistema de control, empleando un microcomputador. El compensador se considera que slo se requiere un control proporcional.

    b) Ajustar el valor de la ganancia para que la sobreoscilacin sea del 10%. Considrese que las frecuencias de los polos y ceros de la cadena abierta estn por debajo de 1/5 de la frecuencia de Nyquist.

    c) Seleccionar el periodo de muestreo. d) Obtener la FDT de la cadena abierta en el dominio en Z. e) Lugar de races directo, aproximado, de la planta en el dominio en Z. f) Determinar el valor de ganancia crtica.

    (40 minutos)

    Una maqueta de laboratorio pretende modelar el comportamiento del rotor de cola de un helicptero. Para ello se

    dispone de los elementos mostrados en la figura.

    El sistema consiste de una barra de inercia despreciable sobre la que se sita un motor con una hlice de masa en un extremo y un contrapeso de masa en el otro a una distancia y al eje de giro respectivamente. El giro realizado por la barra es medido por medio de un potencimetro axial de forma que establece una relacin unitaria entre el ngulo medido en grados y la tensin medida en voltios.

    Como se muestra en la figura se ha realizado un lazo de realimentacin para controlar la orientacin de la maqueta, llegando al diagrama de bloques en la parte derecha. La funcin de transferencia del sistema barra-hlice viene dada por la expresin:

    )023.1)(125.0(05.0)(

    ++=

    S

    Tras probar un regulador proporcional se observa un resultado lento y con un seguimiento en velocidad defectuoso, por ello se decide mejorar el regulador:

    una red reguladora de forma que el error de posicin cometido ante una rampa unitaria sea de 0.1 radianes, y el margen de fase sea de 50.

    Se considera ahora que el sistema es controlado por medio de un controlador remoto, midindose la posicin por medio de una brjula electrnica de dinmica despreciable y por tanto totalmente equivalente al esquema anterior. Como consecuencia de la codificacin digital y posterior transmisin por medio de una seal PWM (figura 2) en la cadena abierta aparece un retardo tanto a la ida como a la vuelta (luego el retardo total ser ). cual es el valor mximo del retardo admisible antes de que el helicptero regulado se vuelva inestable.

    Vref

    R1 R2

    M1 M2

    Vang Vm

    Vref Gc(s) Vm Sistema

    Barra-hlice Gp(s)

    Potencimetro

    Potencia

    Gc(s) 9

  • EXAMEN DE SEPTIEMBRE DE REGULACIN AUTOMTICA II (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    Figura 2.

    una alternativa al esquema indicado de control que asegure la estabilidad independientemente del retraso en las comunicaciones.

    (50 minutos)

    a)

    b) k = 0.55

    c) 6525

    ,

    5.1101

    min

    =

    d) ( ) ( ) ( )( )( )878.0937.0937.01079.8 3

    0+

    +=

    SF

    e)

    P

    UHI

    B0

    T !"

    +

    -

  • EXAMEN DE SEPTIEMBRE DE REGULACIN AUTOMTICA II (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    -3 -2.5 -2 -1.5 -1 -0.5 0 0.5 1-2

    -1.5

    -1

    -0.5

    0

    0.5

    1

    1.5

    2LDR dominio Z

    eje real

    eje im

    agin

    ario

    f) 51.27228.012 > ###

    Una maqueta de laboratorio pretende modelar el comportamiento del rotor de cola de un helicptero. Para ello se dispone de los elementos mostrados en la figura.

    El sistema consiste de una barra de inercia despreciable sobre la que se sita un motor con una hlice de masa en un extremo y un contrapeso de masa en el otro a una distancia y al eje de giro respectivamente. El giro realizado por la barra es medido por medio de un potencimetro axial de forma que establece una relacin unitaria entre el ngulo medido en grados y la tensin medida en voltios.

    Como se muestra en la figura se ha realizado un lazo de realimentacin para controlar la orientacin de la maqueta, llegando al diagrama de bloques en la parte derecha. La funcin de transferencia del sistema barra-hlice viene dada por la expresin:

    )023.1)(125.0(05.0)(

    ++=

    S

    Tras probar un regulador proporcional se observa un resultado lento y con un seguimiento en velocidad defectuoso, por ello se decide mejorar el regulador:

    una red reguladora de forma que el error de posicin cometido ante una rampa unitaria sea de 0.1 radianes, y el margen de fase sea de 50.

    Vref

    R1 R2

    M1 M2

    Vang Vm

    Vref Gc(s) Vm Sistema

    Barra-hlice Gp(s)

    Potencimetro

    Potencia

    Gc(s) 9

  • EXAMEN DE SEPTIEMBRE DE REGULACIN AUTOMTICA II (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    $ !!%&'($) *$)+%

    251.0

    1 = FY

    ,,,

    *&'-*" $ * *! $.$ ! )&

    sec94.01)( UDGJJ

    /"/, ==

    34214)94.0( ==R

    " $*0* ) *&

    1" 551.0 ==P

    ) 2. ) 3456 . $ ! $ 3456 7

    ! $ ! !%

    sec35.00))(Im( UDGJJ

    //" == 8 2. ) $ ) 7 ! $%

    281.0

    92.8)(==

    =

    J/",

    %

    57.28035.010

    12

    2

    ==

    = /

    J

    91 11

    == /J

    9)! :;%

    )0012.0)(1.1()035.0)(11.0(92.8)(

    ++

    ++=

    F

    Se considera ahora que el sistema es controlado por medio de un controlador remoto, midindose la posicin

    por medio de una brjula electrnica de dinmica despreciable y por tanto totalmente equivalente al esquema anterior. Como consecuencia de la codificacin digital y posterior transmisin por medio de una seal PWM (figura 2) en la cadena abierta aparece un retardo tanto a la ida como a la vuelta (luego el retardo total ser ). cual es el valor mximo del retardo admisible antes de que el helicptero regulado se vuelva inestable.

    Figura 2.

    $ )6 + *

    % 7V 2 &

  • EXAMEN DE SEPTIEMBRE DE REGULACIN AUTOMTICA II (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    una alternativa al esquema indicado de control que asegure la estabilidad independientemente del retraso en las comunicaciones.

    97!** * ;&9

    )))$=* )) * 2;6.7! &

  • Regulacin Automtica II (8/9/07) Ejercicio 2

    EJERCICIO 2 (40 minutos) Se pretende controlar una planta G(s) cuyo modelo puede reducirse a un sistema de

    primer orden con polo en 1( )2Ln rad/seg y ganancia esttica 1

    1( )2Ln unidades

    mediante la ayuda de un computador. Para el montaje se emplea un bloqueador de orden cero y un perodo de muestreo de 1 segundo. a) Hallar el equivalente discreto de la planta. Determine la variacin de los polos del equivalente discreto en funcin del perodo de muestreo elegido y justifique la respuesta.

    G(s)= 10,693s + BoG(z) =

    0,72130,5z

    El polo se desplaza hacia la unidad al disminuir el perodo de muestro, pues tarda ms en estabilizarse el sistema. En la medida en que dicho polo se acerca a 1 se toman ms y ms muestras y, por tanto, se est viendo en ms detalle la dinmica del sistema continuo muestreado. En cambio, al aumentar el perodo de muestreo el sistema discreto es ms y ms estable (el polo se desplaza hacia el origen) pero a costa de ir perdiendo informacin acerca del sistema continuo. b) El computador aadido implementa el esquema de control de la figura, donde el bloque Gc relaciona las variables u, v mediante la ley: 2k k kv v u 1+ + = . Dibuje el esquema completo de control en cadena cerrada teniendo en cuenta que la realimentacin es unitaria.

    con Gc = 2 1z

    z c) Para el sistema calculado en el apartado anterior dibuje el lugar de las races directo y determine los valores de K para los que el sistema es estable ante entrada escaln.

    El polinomio caracterstico es: p(z) = 3 21 1(0,7213 1)2 2

    z z k z + +07

    RESULTADO: Valores de K tal que 0 2,k< < Se reprograma el computador para dotar al bloque de control Gc de un comportamiento expresable como 2 2k k kv v u+ + = .

    K u Gcv BoG(z) +

    -

  • Regulacin Automtica II (8/9/07) Ejercicio 2

    d) Qu relacin guarda el nuevo bloque Gc con el anterior? Raznese, sin hacer ningn clculo previo, acerca de la estabilidad del nuevo sistema. El bloque Gc tiene un cero adicional en el origen, es decir todas las muestras estn adelantadas una unidad respecto al anterior sistema. En consecuencia, el nuevo sistema ser mucho ms rpido y menos estable que antes. e) Compruebe numricamente las conclusiones obtenidas en el apartado anterior realizando el mismo anlisis que en el apartado c).

    Gc es ahora: 2

    2 1z

    z . El nuevo LDR pasa a ser:

    -3 -2.5 -2 -1.5 -1 -0.5 0 0.5 1-1

    -0.8

    -0.6

    -0.4

    -0.2

    0

    0.2

    0.4

    0.6

    0.8

    1Root Locus

    Real Axis

    Imag

    inar

    y Ax

    is

    El sistema es crticamente estable para K=0 e inestable para cualquier valor superior de K.

  • Regulacin Automtica II Final de septiembre 07/08

    Problema 2 (45 minutos) Como consecuencia de aadir un bloqueador-muestreador a un sistema continuo de regulacin de temperatura mediante termostato se obtiene el siguiente sistema equivalente discreto (una vez reducido):

    ( )1

    zG zz

    = Se pide

    1. Raznese sin realizar ningn clculo previo acerca de la causalidad, retardo y estabilidad del sistema para cualquier compensador proporcional considerando el lazo abierto. Determine el valor inicial y final ante entrada escaln unitario as como la ecuacin en diferencias en funcin de la ganancia del compensador. A partir de dicha ecuacin en diferencias determine el valor de la salida (tomando como entrada el escaln unitario) para cualquier nmero de muestra. El sistema es claramente causal y no tiene retardo. Adems es inestable ya que ante entrada impulso discreto devuelve la rampa discreta (adelantada una unidad) con pendiente la ganancia del compensador.

    oy K y= = 1 11

    ( )( ) 1 1 k k k k k

    Y z K z K y y K x y y K xX z z z

    = = = = + k Dando valores a la ecuacin en diferencias para el escaln unitario se obtiene de forma trivial que { }

    ( 1)ny n K= +

    2. Determine los valores del compensador proporcional que hacen el sistema estable en lazo cerrado (considere una realimentacin unitaria). Es razonable pensar en un compensador proporcional con valores de ganancia altos para mejorar las propiedades del sistema de regulacin de temperatura? Justifique la respuesta. Determine nuevamente la estabilidad si se aade un retardo puro a G(z).

    El sistema estable para . Para valores de ganancia altos el polo del sistema realimentado se desplaza hacia el origen y el sistema muestreado no puede reflejar correctamente la dinmica del sistema continuo.

    [0, [K

    En el caso de aadir un retardo puro la nueva G(z) es 11z y el sistema es estable para valores

    de [0,2]K

    3. Qu se entiende por un bloqueador de orden n? Obtenga la ecuacin caracterstica del bloqueador de orden cero mediante la transformada de Laplace de la respuesta temporal de dicho bloqueador al impulso discreto. (Ver teora).

    01( )

    sTeB ss

    = 4. Obtenga el equivalente discreto del operador derivada considerando la aproximacin

    1( ) k kt KT

    x xdx tdt T

    =

    Aplquelo para obtener el sistema continuo G(s) que se comporta de manera semejante a G(z) para muestras tomadas cada segundo. Dibuje apro istemas entrada escaln.

    ximadamente la salida de ambos s ante

    11 1( )zs G

    T s

    = = s

  • Regulacin Automtica II-Septiembre 2009 Problema 2 (45 minutos)

    Sea 0.4( ) 0.11667

    ( 0.3)( 0.9)zG z

    z z= un sistema continuo controlado por computador. Se

    pide: a) Determine la ecuacin en diferencias y, a partir de salida del sistema en cadena abierta ante entrada escalretardo del sistema (sin realizar ningn clculo) y commuestras obtenidas.

    1 2 11,2 0,27 0,11667[ 0.4 ]k k k k ky y y u u + = 2 El retardo es claramente unitario (ndice de la entrada Las 4 primeras muestras de la salida se obtienen dandoprimera muestra de la salida tiene que ser 0 obviament Los valores son yk={0, 0,117, 0,21, 0,291, } b) Determine el equivalente discreto y justifiquel( )G z

    l 0,1( )0,9

    G zz

    = La calidad de la aproximacin es razonable y viene de

    0,9 0,4 1 0,40,9 0,3 1 0,3

    Para simplificar se realiza el anlisis del control con recondensador proporcional empleando . Se pide: l( )G z c) Determine el valor de K a partir del cual la salida deentrada escaln unitario. Asimismo determine los valoes estable. El sistema presentar un rizo cuando el polo de desplade K se puede obtener aplicando directamente el criter sta, las 4 primeras muestras de la n unitario. Indique y justifique el pruebe la coherencia con las

    comienza en k-1 y no en k). valores para uk={1,0,0,}. La e.

    la calidad de la aproximacin.

    terminada por la desigualdad

    alimentacin unitaria con

    l sistema presenta rizo ante res de K para los que el sistema

    ce al semiplano negativo. El valor io del mdulo sobre el L.D.R:

    d

  • Regulacin Automtica II-Septiembre 2009

    ( 0)0,9 9

    0,1 0,1pdK = = = =

    Un clculo anlogo puede hacerse para el lmite de estabilidad:

    ( 1)1 1,9 19

    0,1 0,1pdK =+= = = El sistema es estable si ] 0, 19]K

    d) Justifique, sin realizar ningn clculo, como afecta al nmero de muestras antes de establecimiento la variacin de K entre valores superiores a 0 y el valor calculado en el apartado anterior. Al variar K entre 0 y 9 el polo se desplaza hacia la izquierda lo que implica que cada vez el sistema es ms rpido y habr menos muestras hasta el establecimiento (el sistema es menos informado). e) Dibuje con detalle la respuesta del sistema ante entrada escaln para K=10 (calcule exactamente Mp, ep, np).

    0,910 0,1 0,1

    0.1aK a polo p+= = = =

    Los parmetros son 10,1 10% 0,0909 11 10p p

    M p e n= = = = = =+ p

    f) Se realiza ahora el anlisis del mismo esquema de control anterior pero con el sistema sin simplificar . Determine en este caso los valores del compensador que hacen el sistema estable y compare dicho rango con el del sistema equivalente reducido.

    ( )G z

    Aplicando nuevamente el criterio del argumento:

    1 2

    1

    1,3 1,9 15,120.11667 0.11667 1,4

    p p

    z

    d dK

    d = = = El sistema es estable si ] 0, 15,12]K

    En el sistema equivalente reducido el rango de estabilidad es mayor. El efecto del cero es hacer el sistema ms rpido pero ms inestable.

  • Regulacin Automtica II Septiembre 2010

    Problema 2 (45 minutos, 5 puntos) Como consecuencia de aadir un bloqueador-muestreador a un sistema continuo de regulacin de temperatura mediante termostato se obtiene el siguiente sistema equivalente discreto (una vez reducido):

    2( )2zG z

    z=

    Se pide 1. Raznese sin realizar ningn clculo previo acerca de la causalidad, retardo y estabilidad del

    sistema. Determine a) el valor inicial y final ante entrada escaln unitario; b) la ecuacin en diferencias que caracteriza el sistema; c) Termino general de la salida ante entrada escaln unitario.

    2. a) Determine los valores del compensador proporcional que representan cambios en el comportamiento del sistema en lazo cerrado (considere realimentacin unitaria entrada escaln unitario) en relacin con la estabilidad y forma del transitorio. b) Repita los clculos para el sistema retardado una unidad.

    3. Qu es un sistema hbrido? Qu se entiende por un bloqueador de orden k? Indique la

    ecuacin caracterstica del bloqueador de orden cero y orden 1. Dibuje la respuesta temporal de ambos bloqueadores ante el impulso discreto. Cul es la relacin entre dicha respuesta y la ecuacin caracterstica?

    4. El sistema 2( )2zG z

    z= es el equivalente discreto obtenido fruto de la siguiente aproximacin

    (no convencional) al operador derivada:

    1 2

    1( ) 2k k k

    t KT

    x x xdx tdt T

    =

    +

    Determine el cambio de variable (relacin entre variables s y z) del sistema continuo G(s) para el que G(z) se comporta de manera semejante considerando un periodo de muestreo de 1 segundo.

  • Regulacin Automtica II Septiembre 2010

    SOLUCION

    1. Sistema inestable, causal y con retardo nulo 0,5 a) 0 2;y y= = 1 b) 12 2k k ky y u =

    1 c) { }2 2

    1 1

    1 1 1( ) ( ) 2 2 [ ]1 ( 1)( 2) 1 2

    ( ( )) 2 2 1k

    zY z G z z zz z z z z

    Z Y z +

    = = = + =

    2.

    1 a) 2( )2zG z

    z=

    Aplicando criterio del modulo: 2

    dpk

    dz= : Ke=0,5. El sistema es estable para valores

    de k tal que 0,5

  • Regulacin Automtica II Septiembre 2010

    2,5 4. El sistema discreto D(z) que se aproxima al operador derivada queda representado por la ecuacin caracterstica dada:

    D(z) = 1 21 0,5z z + (T=1s) Luego el cambio de variable para la aproximacin derivada definida es

    s = 1 21 0,5z z + = 2

    2

    0,5 1z zz

    + Nota: Para obtener el sistema G(s) de partida sera necesario calcular la relacin inversa: z(s) y realizar el cambio de variable.

    ( )D z { }kx 1 20,5k k kx x xT +

    sx(t)( ( ))d x tdt

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    La siguiente figura representa un pndulo controlado por medio de un electroimn. Un complejo sistema electromecnico permite ejercer una fuera horizontal sobre la barra del pndulo en el punto P proporcional a la intensidad que recorre la bobina:

    [ ] )(2)( /

    $

    1

    =

    El ngulo girado por el pndulo respecto de la vertical es medido por medio del potencimetro lineal mostrado en la figura, de tal forma que cuando el ngulo es de 90 la medida es de 10 V. y cuando es de -90 la medida es de -10 v. El montaje del potencimetro introduce un rozamiento de constante B= [ ]UDGLDQ

    VP1 3 . El sistema electrnico contiene el amplificador de error y un driver de potencia, de forma que la tensin de salida es amplificada k veces de la tensin de error. Teniendo en cuenta los datos suministrados en la figura, se pide:

    1. Ecuaciones fsicas del sistema. 2. Linealizar el sistema respecto del punto 300 = . Justificar que:

    ( )( ) 547.113

    173.02 ++

    =

    Considrese para este apartado y los dos siguientes que el valor de K es 10. 3. Diagrama a bloques y funcin de transferencia que relaciona y UHI

    . 4. Cmo evoluciona el ngulo si se introduce una tensin de referencia de +4 Voltios como valor absoluto?.

    Caracterizar la respuesta temporal del sistema reducido equivalente, sabiendo que hay un polo real en la cadena cerrada que vale -2.3,

    Considrese que el momento de inercia del pndulo es 21& =

    K

    -

    +

    1

    2

    ,

    UHI

    10+ 10 /

    210.1

    1,01

    .2,0.1

    2

    1

    V

    P

    =

    =

    ==

    =

    =

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    Un avin comercial con piloto automtico presenta en el modo de oscilacin longitudinal la funcin de transferencia siguiente.

    ( )

    Q Q

    ( ) ( ) =+

    + +

    1

    1 2

    2

    1

    2 2

    donde: 1 = 1 ; 2 = 2 ; = 0.5 ; n = 4.

    Construir el Lugar de Races del sistema. Para la colonizacin de la luna, la Agencia Europea del Espacio (ESA), trabaja en la teleoperacin de robots. Suponiendo que el tiempo de retraso en la transmisin de una seal de comunicacin, entre la Tierra y la Luna, es de 1.28 seg. Se pide:

    1. Rango de para que sea estable (emplese la aproximacin de Pade). 2. Diagrama de Bode y curva polar de la cadena abierta para un valor de a 2/3 del valor de la ganancia crtica. 3. Determinar la ganancia de forma que el sistema tenga un margen de fase de aproximadamente de 50. 4. Respuesta aproximada de este sistema a una entrada en escaln unitario.

    e

    s

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    1. Ecuaciones Fsicas del sistema:

    Potencimetro: )(20)(

    =

    Electroimn: )(()( UHIH =

    )()()(

    /

    /

    H

    +=

    )(2)( /

    =

    Pndulo:

    )()(cos)( 12

    22

    12 ++=

    2. En el punto de equilibrio 300 = . Sustituyendo en las anteriores ecuaciones, y haciendo todas las derivadas nulas, se obtienen los siguientes valores de las variables en el punto de equilibrio:

    .47,344.143,1487,28

    .33,3

    0

    0

    0

    UHI

    H

    /R

    R

    =

    =

    =

    =

    =

    Las anteriores ecuaciones, linealizadas en este punto y transformadas a Laplace son:

    /

    H/

    UHIH

    ++

    =

    ++==

    +

    =

    ==

    547,113173,0

    330cos103030cos2

    1,01

    )(1033.6

    2

    202

    3. El diagrama de bloques es el siguiente:

    Resolviendo el diagrama de bloques, obtenemos que:

    )108.0)(3.2(46,3

    2 +++=

    UHI

    1,01+

    547,113173,0

    2 ++ K

    6,33

    2 UHI H /

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    4. Una referencia absoluta de 4 voltios pasa a ser un escaln de 53,04 0 = UHI voltios de amplitud. El sistema reducido equivalente al obtenido en el apartado 3 ser:

    108,0

    5,12 ++

    =

    UHI

    Que tiene la misma ganancia esttica que la anterior, y dos polos dominantes complejos conjugados en 13,34,0 .

    G

    U

    V

    S

    G

    S

    54.0

    8.7

    %9,66100

    003.1

    0795,053,0*15,0

    tan

    =

    =

    ==

    ==

    ==

    ==

    Al no ser la realimentacin unitaria, no es posible comparar directamente la referencia y el valor de salida, puesto que uno son voltios y el otro radianes. Para hacerlo basta con pasar una de las dos medidas a la referencia que representan. Si pasamos el incremento de radianes a la tensin leida en el potencimetro, obtenemos 0.503 V.

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    R1: Nmero ramas 4 R2: k=0 (0,+1,-2+j3.45,-2-j3.46) k=-0.5) R3: Ramas del eje real R4: Simetra

    ( ) 180,60,601418012

    :5 =

    +=

    D

    83.014

    5.02210:6 =

    ++=DD

    R7: ( )

    47180)12(3211

    =

    +=+++

    V

    V

    R8: El punto de dispersin y confluencia puede aproximarse a la ecuacin de segundo orden:

    =

    +

    +

    36.036.1

    5.01

    111

    R9: s4+3 s3+12 s2-16s+ks+0.5k s3 1 12 0.5k

    s2 3 k-16

    =

    02.4548.18FU

    s1 x*

    s0 0.5k

    1. Habr que determinar el polinomio caracterstico:

    ( ) ( )( ) ( )( )( ) ( )

    GGG

    G

    GG

    V7

    G ++++=++

    +++

    ++= 110

    11111

    111 22

    Empleando la tabla de Routh:

    G

    111 +

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    -40

    -30

    -20

    -10

    0

    10

    Mod

    ulo

    (dB

    )

    10-2

    10-1

    100

    101

    102

    -11520

    -5760

    0

    Fas

    e (de

    g)

    Bode

    Frecuencia (rad/sec)-1 -0.5 0 0.5 1 1.5

    -1

    -0.5

    0

    0.5

    1

    Nyquist

    Real

    Imag

    inario

    3. Cuando el ngulo es pequeo el arco tangente y la tangente se aproximan. Esta aproximacin se puede considerar, en este apartado, por que la frecuencia de cruce de ganancia es ms pequea que la frecuencia del polo de primer orden: ( )( ) [ ]JJGJJGJ

    /63.01302218050 ++==

    18.1163.01 2

    ==+

    4. Considerando que el efecto dominante son los polos complejos y conjugados de la cadena cerrada, entonces: ( ) 54.05

    63.0%37.165.0

    100 0,,===

    V

    FF3FFSFF

    Realizando la simulacin, estas aproximaciones se ven que son bastante buenas:

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (04/05)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    R L

    e

    VC

    VC

    x

    Determinar y demostrar las transformadas de Laplace de las seales de test: pulso de dirac, escaln unitario, rampa unitaria y parbola unitaria.

    Un altavoz es un transductor que transforma la seal elctrica en una onda sonora. Est constituido por una pieza de tela, con arrugas concntricas, llamada araa, la cual se encarga de mantener centrado el cono, junto a un sistema de suspensin. El imn crea un circuito magntico. Al hacer circular la corriente por la bobina de voz, dentro del campo magntico, produce una fuerza que desplaza horizontalmente al cono, , hacia izquierdas y derechas. Estas fluctuaciones de la presin del aire se transforma en sonidos audibles. El modelo de la bobina de voz est constituido por una resistencia equivalente, , una inductancia de dispersin, , y una fuerza contraelectromotiz, E

    . sta ultima es proporcional a la velocidad de desplazamiento del cono, con una constate E

    .

    La fuerza que empuja al cono, modelado por su masa, , y por un rozamiento viscoso, , es proporcional a la corriente que circula por la bobina, S

    . Por ltimo, la presin del aire es proporcional a la aceleracin del desplazamiento, V

    . Se pide:

    1. Diagrama a bloques del altavoz 2. Demostrar que la FDT del altavoz es:

    ( )( ) 797.6044.0105.2

    0315.025 ++

    =

    F

    3. Diagrama de Bode y curva polar de la respuesta frecuencial del altavoz.

    4. Seal de salida del altavoz al dar en la entrada un armnico de 1kHz y 2 voltios de amplitud.

    5. A los altavoces se les incorpora un pequeo micrfono, como sensor para la realimentacin, formando una estructura de control de cadena cerrada. Suponiendo que la FDT del micrfono es unitaria, representar el nuevo diagrama de bloques, teniendo en cuenta que la seal de error es amplificada por una ganancia genrica k.

    6. Determinar el trazado directo e inverso del lugar de las races. Cundo el sistema es estable? 7. Calcular la nueva FDT total para k= +10 y dibujar el nuevo diagrama de Bode. 8. Con los trazados del lugar de las races y la nueva respuesta en frecuencia, Cul es la conclusin con la nueva

    arquitectura de control del altavoz, para k>0 ?.

    Datos: R= 8 , L= 5mH, M= 5 gr, B = 0.8 Ns/m, kb=kp=0.63 N/A, ks = 0.05 Ps2/m

    Se desea analizar el sistema de control de espesor de un tren de laminacin. La accin de control se realiza por medio de la regulacin de la fuerza que ejercen los rodillos sobre la plancha de acero saliente, de forma que la accin de control regule el espesor del acero. Para poder realimentar el espesor logrado se dispone de un sensor laser que aguas abajo obtiene una seal proporcional al grosor. El valor medido es necesario filtrarlo para eliminar la componente de alta frecuencia debido a las imperfecciones superficiales de la lmina saliente. Finalmente la seal obtenida se compara con una referencia, y el error se utiliza para actuar segn una accin proporcional () sobre el tren de laminado. En las figuras siguientes se muestran el esquema del sistema y el diagrama de bloques correspondiente.

    Puesto que el sensor est situado a cierta distancia respecto de la salida del tren de laminacin, existe un retardo debido al transporte que depender de la distancia, puesto que la velocidad de salida de la plancha se considerar constante e igual a en las condiciones nominales.

    Figura 1 Altavoz de cono convencional

    Figura 2 Esquema elctrico equivalente

    Plancha

    Sensor Laser

    Referencia

    Controlador

    Tren de Laminacin

    'LVWDQFLD

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (04/05)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    :

    Calcular los errores de posicin, velocidad y aceleracin del sistema. Pintar la respuesta en frecuencia del sistema en cadena abierta considerando la distancia de medida nula y por

    tanto que no hay retardo en la medida. Trazar el diagrama de bode asinttico y el diagrama polar. Obtener el Margen de fase y el Margen de ganancia para las condiciones anteriores. Demostrar que la frecuencia

    de cruce de ganancia es de y que la frecuencia de cruce de fase es de . Para evitar oscilaciones excesivas se quiere asegurar que el margen de fase no supere los 50. Cul es la

    distancia mxima admisible a la que puede situarse el sensor?. A qu distancia se vuelve inestable el sistema?

    !1.

    - +1

    +21

    E

    V

    2

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (04/05)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    -40

    -30

    -20

    -10

    0

    Mod

    ulo

    (dB)

    101 102 103 104 105-90

    -45

    0

    45

    90

    argu

    me

    nto

    (deg

    )Respuesta en frecuencia del altavoz

    Frecuencia (rad/sec)-0.1 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7

    -0.6

    -0.4

    -0.2

    0

    0.2

    0.4

    0.6

    Nyquist

    4. ( ) ( ) ( )( )( ) ( )29.12000194.022000arg200020002 =+= US

    [P] 5.

    6.

    -1800 -1600 -1400 -1200 -1000 -800 -600 -400 -200 0-1

    -0.8

    -0.6

    -0.4

    -0.2

    0

    0.2

    0.4

    0.6

    0.8

    1LDR- Trazado directo

    -2000 -1500 -1000 -500 0 500 1000 1500 2000-600

    -400

    -200

    0

    200

    400

    600LDR- Trazado inverso

    7.

    -

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (04/05)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    -40

    -30

    -20

    -10

    0

    Mod

    ulo

    (dB

    )

    100 101 102 103 104 105 106-90

    -45

    0

    45

    90

    argu

    men

    to (d

    eg)

    Bode

    Frecuencia (rad/sec)

    8. Gracias a la estructura de realimentacin con el micro, al aumentar el valor de k hace aumentar el ancho de banda del altavoz.

    Calcular los errores de posicin, velocidad y aceleracin del sistema. ! !" # $ ! %!" !

    !"&'(

    [ ]

    +++

    ++==

    25.05.2)5.05.2()(lim)(1)(lim 23

    2

    00

    )**)

    V

    +

    +

    V

    US

    025.05.2)5.05.2(1

    lim 232

    0=

    +++

    ++=

    V

    S

    225.05.2)5.05.2(1

    lim 232

    20=

    +++

    ++=

    V

    Y

    =

    +++

    ++=

    25.05.2)5.05.2(1

    lim 232

    30

    V

    D

    Pintar la respuesta en frecuencia del sistema en cadena abierta considerando la distancia de medida nula y por tanto que no hay retardo en la medida. Trazar el diagrama de bode asinttico y el diagrama polar.

    +

    )5.0)(2(25.0)()(

    ++=

    ,-,-,-,-.,-

    !$'!/!/#'-012(

    25.0)()(lim0

    ==

    .*V

    Y

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (04/05)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    Obtener el Margen de fase y el Margen de ganancia para las condiciones anteriores. Demostrar que la frecuencia de cruce de ganancia es de y que la frecuencia de cruce de fase es de .

    VHJ

    UDG

    J

    . 22.0035.0 ==

    VHJ

    UDG

    I

    . 116.0 == !%!/$!(

    222.05.022.022.025.0

    1)()(++

    ==

    ,,,,.,JJ

    21

    5.0190180)()( ,.,II

    == 3!'%&''%!(

    Polar

  • EXAMEN DE FEBRERO DE REGULACIN AUTOMTICA I (04/05)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    ,.,

    *

    ,.,

    II

    J

    JJ

    2010)()(

    160)()(180

    ===

    =+=

    Para evitar oscilaciones excesivas se quiere asegurar que el margen de fase no supere los 50. Cul es la distancia mxima admisible a la que puede situarse el sensor?. A qu distancia se vuelve inestable el sistema?

    %!"'!/#%%4

    %5% 6 #64 !%!"#%'!!$!#&

    !'%!!!4#$!$!'%718'%$$!$!!3!%'9184(

    .79.0.79.022.018010

    10 !'666J

    =

  • EXAMEN DE SEPTIEMBRE DE REGULACIN AUTOMTICA I (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    Sabiendo que la ganancia de tensin del filtro es ( ) ( )( ) ( ) 188728787

    22

    22

    +++

    ==

    H

    V

    9

    ,

    donde es la ganancia de la estructura de amplificador no inversor, con AO ideal, y habiendo definido como el valor de y . Determinar su respuesta frecuencial en diagrama de Bode.

    (20 minutos)

    La figura representa el esquema simplificado de la calefaccin de una habitacin por medio de un radiador elctrico. El radiador consiste en una resistencia R alimentada a V voltios y situada en un bao de aceite de masa calorfica Mc y temperatura Tc. Posee una superficie Sc de coeficiente global de transmisin Uc hacia el aire. El aire de la habitacin se encuentra a una temperatura Th y tiene una masa calorfica Mh. La temperatura exterior es Te. Las paredes tienen una superficie SP y un coeficiente global de transmisin UP. La temperatura de la habitacin se mide con un termmetro situado cerca del radiador, por lo que su indicacin Tm viene afectada ligeramente por l. Dicha medida se compara con una referencia Tr y la diferencia, amplificada con una ganancia K se lleva a la resistencia del radiador. Las ecuaciones del sistema son:

    ( )( ) ( ) ( )HKSSKFFF

    K

    KKFFF

    F

    F

    PUFKP

    ==

    ==+=

    )5)4/24.0)3)205.095.0)1 2

    Datos KFSSFF

    /3000/1000/33/5.12/5020 ======

    Se pide: 1. Determinar el punto de

    equilibrio (Tc,o y Th,0) en torno a Te,0 = 5C, Tr,0 =25C. Para facilitar el clculo, la tensin aplicada a la resistencia en el reposo est alrededor de 190V.

    2. Linealizar las ecuaciones en torno al punto de equilibrio.

    3. Dibujar el diagrama a bloques del sistema de control.

    (45 minutos)

  • EXAMEN DE SEPTIEMBRE DE REGULACIN AUTOMTICA I (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    Problema 2 Una maqueta de laboratorio pretende modelar el comportamiento del rotor de cola de un helicptero. Para ello se

    dispone de los elementos mostrados en la figura.

    El sistema consiste de una barra de inercia despreciable sobre la que se sita un motor con una hlice de masa en un extremo y un contrapeso de masa en el otro a una distancia y al eje de giro respectivamente.

    (=0.1 kg., =0.2 Kg., =0.3m., =0.15m.). El giro realizado por la barra es medido por medio de un potencimetro axial de forma que establece la siguiente

    relacin entre el ngulo girado y la tensin de salida: )(

    210)(

    DQJ

    =

    El motor lleva su propio control de velocidad, de forma que la relacin entre la seal de entrada y la velocidad del eje de giro independientemente de la carga viene dada por la siguiente ecuacin diferencial:

    += )(125.0)(80)(

    P

    P

    P

    La hlice al girar genera una fuerza de empuje axial proporcional a su velocidad de giro segn la siguiente relacin: )(01.0)(

    PW

    = Adems, la hlice provoca una fuerza resistente al avance proporcional a la velocidad lineal de avance de la misma, segn la expresin:

    U

    )(05.0)( 1

    =

    El eje de giro de la barra tiene un coeficiente de rozamiento angular de

    01.0= .

    Como se muestra en la figura se ha realizado un lazo de realimentacin para controlar la orientacin de la maqueta, llegando al diagrama de bloques en la parte derecha.

    1.- Dibujar el diagrama de bloques correspondiente al bloque barra-hlice, indicando las funciones de transferencia y el significado de las seales en cada enlace. 2.- Obtener la funcin de transferencia del conjunto barra-hlice. 3.- Cul es el valor mximo de K que asegura que no hay oscilaciones? 4.- Dibujar el diagrama de bode del sistema para K= 1, indicando grficamente los mrgenes de estabilidad del lazo cerrado. : las ecuaciones estn expresadas en unidades del S.I. y los ngulos en radianes.

    Vref

    R1 R2

    M1 M2

    Vang K

    Vm

    K Vm Sistema

    Barra-hlice

    Potencimetro

    Vref

    Potencia

  • EXAMEN DE SEPTIEMBRE DE REGULACIN AUTOMTICA I (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    La arquitectura de AO es unitaria, k=1. El sistema es de segundo orden y tiene un cero doble en el origen, por tanto es un filtro paso alto de segundo orden. Sus parmetros son:

    Q

    =

    =

    1. Th,0 = 19.3 C y . TC,0 = 57 C.

    -100

    -80

    -60

    -40

    -20

    0

    20

    Mod

    ulo (d

    B)

    101 102 103 1040

    45

    90

    135

    180

    Fase

    (de

    g)

    Filtro paso alto de Sallen-Key

    Frecuencia (rad/sec)

  • EXAMEN DE SEPTIEMBRE DE REGULACIN AUTOMTICA I (04/05)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    El sistema de control de una locomotora elctrica est basado en una estructura de realimentacin negativa. La velocidad de referencia deseada es convertida en una seal elctrica que es comparada con la tensin de salida de una dnamo tacomtrica, kDT. La seal de error ataca a un amplificador de tensin con ganancia k. Esta etapa se conecta con el motor elctrico de la locomotora, generando la fuerza de empuje del tren. Se pide:

    1. Para determinar la funcin de transferencia del motor, se le aplica una funcin en escaln de 100V a la entrada del motor. La fuerza de empuje se registra y describe la siguiente evolucin temporal:

    ( )

    +=

    1030 5.05.115000WW

    Obtener la FDT del motor. 2. Diagrama a bloques del sistema de control de la locomotora.

    3. Obtener el equivalente reducido de la FDT del motor, ( ) ( )P

    .

    4. Empleando el equivalente reducido del anterior apartado, determinar la expresin analtica de la evolucin temporal de la velocidad del tren ante una entrada escaln de 1V al sistema de realimentacin.

    5. Calcular el valor de k para que la sobreoscilacin ante una entrada en escaln unitario sea del 20%., utilizando la equivalencia de la FDT del motor.

    Masa del tren = 138 toneladas, k = 20, Constante de la dnamo tacomtrica, kDT = 1 [V/m/s]

  • EXAMEN DE SEPTIEMBRE DE REGULACIN AUTOMTICA I (04/05)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    El robot limpiador de fachadas mostrado en la figura, se compone de dos grandes elementos: por un lado un comercial en lo alto de la fachada, y por otro el sistema de limpieza robtico, propiamente dicho, que sustituye a la canasta en la que habitualmente se sitan los limpiadores.

    Se desean disminuir las oscilaciones que en el robot provocan los desplazamientos a lo largo del eje X del . Para ello se ha supuesto el conocimiento de la longitud del cable y de la masa del robot , ambos datos fcilmente obtenibles por medio de sensores. Analizando la dinmica del sistema y siguiendo el sistema de referencias mostrado en el esquema de la figura, se ha llegado a la siguiente relacin:

    )()()(sin 22

    55

    +=

    En donde es un coeficiente de rozamiento con el aire dependiente exclusivamente de la geometra de la carcasa del robot y es la aceleracin terrestre.

    P

    1V

    V

    P 3540025.38.9 2 ====

    Demostrar que la funcin de transferencia que relaciona el movimiento en abscisas del robot con el movimiento en abscisas del es:

    01.30875.001.3

    )()()( 2 ++==

    &

    5

    para el punto de funcionamiento dado por: 00000 ==== &&55

    Dibujar el diagrama de bode del sistema obteniendo numricamente los valores ms caractersticos. Con que periodo oscilar el robot si se introduce un escaln en la velocidad del carrier?

    Mediante la aplicacin del mtodo de se obtiene la funcin de transferencia de un filtro que modifica la accin de control sobre la posicin del . Dicho filtro tiene la siguiente FDT:

    01.346.301.30875.0

    )()()( 2

    2

    ++

    ++==

    GHVHDGD

    &

    F

    Dibjese aproximadamente el diagrama de bode del filtro. ! Justifique desde el punto de vista frecuencial el efecto que sobre el sistema tiene este filtrado.

    Mg

    Xc(t)

    Xr(t)

    L

    )(

    Origen de X

  • EXAMEN DE SEPTIEMBRE DE REGULACIN AUTOMTICA I (04/05)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    "#$1. Por la expresin temporal, la transformada de Laplace de la fuerza del motor aplicando el teorema de traslacin compleja y descomposicin en fracciones simples ser del tipo:

    ( )

    +

    +

    +

    +=

    101

    301

    321

    Por tanto, si se aplica una entrada en escaln, la primera fraccin corresponde a la excitacin y el resto a la FDT entre la tensin aplicada al motor y su fuerza:

    ( )( )

    +

    +

    =

    101

    301

    P

    P

    Para determinar km se aplicar el teorema del valor final:

    ( )( )615000

    101

    301

    100limlim00

    ==

    +

    +

    =P

    P

    VV

    2. La relacin entre la fuerza aplicada a la locomotora y su velocidad ser: ( )( )

    7

    7

    77

    ==1

    El diagrama a bloques quedar como:

    3. El polo dominante ser el ubicado en -1/30. Habr que adecuar la ganancia esttica:

    ( ) ( )

    +

    ==

    3016

    106

    100

    HTHT

    4. La dinmica temporal requiere de saber cual es la FDT de la estructura realimentada:

    La transformada de la velocidad de la locomotora es:

    ( )200102710828

    2001323 ++

    =

    7

    Aplicando la antitranformada por descomposicin en fracciones simples quedar: ( ) WW7

    02.001.0 88.088.11 +=

  • EXAMEN DE SEPTIEMBRE DE REGULACIN AUTOMTICA I (04/05)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    5. Por el LDR de la estructura de realimentacin, la ubicacin del polo complejo conjugado del lazo cerrado estar en la mitad del origen y del polo -1/30. La frecuencia de oscilacin se determinar mediante el ngulo de apertura de los polos, definida por la sobreoscilacin. El ngulo es 1.097 radianes y los polos de la cadena cerrada sern -0.0167j0.326. Aplicando el criterio del mdulo, la ganancia de la cadena cerrada debe ser la unidad, se determina la ganancia que vale 111.

  • EXAMEN DE SEPTIEMBRE DE SERVOSISTEMAS (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    Para determinar los valores del circuito LC de la figura se le aplica una entrada impulsional. Determinar

    a) Transformada de Fourier y de Laplace de la seal impulsional,

    ( )

    >

    =

    H 0

    0/1. Siendo un valor positivo que tiende a ser cero.

    b) Expresin analtica, en el dominio del tiempo, de la seal de salida al aplicar la entrada del apartado anterior.

    c) La salida es medida con un osciloscopio y el armnico es de 1kHz. Determinar el valor del condensador si la bobina es de 100 mH. Representar la seal de salida ante la entrada impulsional.

    Dado el siguiente diagrama de bloques, obtener:

    1. FDTs entre y(s) y x1(s) junto con y(s) respecto x2(s).

    2. Trazado directo del lugar de las races. 3. Expresin analtica de la seal de salida ante una

    entrada en escaln de x1(s) con valor final de +3 y con escaln en x2(s) con valor final de -2.

    4. Representa grficamente la evolucin de la seal de salida con el tiempo ante las excitaciones dadas.

    Se desea disear un sistema de control de nivel de un depsito como el mostrado en la figura:

    El caudal de entrada est regulado por una vlvula de caudal pilotada elctricamente por medio de la seal V1. El caudal de salida responde a la demanda aguas abajo del fluido almacenado, y desde el punto de vista del controlador, es considerada como una perturbacin. Se ha dispuesto para el correcto diseo del controlador de un sensor de nivel ultrasnico que genera una seal elctrica como funcin lineal de la altura. Tras diversos ensayos se ha determinado el siguiente diagrama simplificado del sistema considerando la altura de trabajo la de un 80% del nivel mximo del depsito:

    )(&

    )05.0(1.0

    +

    )( )(UHI

    )(1

    )02.0(05.0+

    Vlvula manual

    Servovlvula

    Vlvula manual

    V1 H Sensor de Nivel

  • EXAMEN DE SEPTIEMBRE DE SERVOSISTEMAS (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    1. Para evitar desbordamientos, dado que no es posible un valor negativo de v1, es imprescindible que el sistema de control logre un sistema nada oscilatorio. Determinar los posibles valores de un controlador proporcional que logre un tiempo se establecimiento inferior a 200 s. Determinar la expresin del error cometido en rgimen permanente para todos los posibles valores de K.

    2. Durante su uso, se observa que la vlvula de salida siempre permanece abierta alrededor de un 20%, esto provoca un replanteamiento de las acciones de control y por ello se linealiza de nuevo el sistema en torno a dicho punto de trabajo, de tal forma que es posible realizar acciones negativas en la vlvula de entrada, al ser su punto de equilibrio un valor distinto de cero. La fdt que relaciona v1(s) con h(s) no es modificada en el nuevo modelo. Disear un regulador que logre una sobreoscilacin mxima de un 8% y 30 s. de tiempo de establecimiento.

    3. Para realizar el regulador calculado en el apartado anterior digitalmente, se opta por utilizar un tiempo de muestreo al menos 10 ms rpido que el que determina el criterio prctico. Obtener el algoritmo de computador necesario para realizar dicho controlador, tanto la expresin en Z como el pseudocdigo que lo realiza.

    En el esquema proporcionado a continuacin, se propone un modelo sobre el proceso de fabricacin de circuitos integrados. Todo el sistema de transporte es gestionado por la mismo motor M. Se supone que el carril de transporte se encuentra parado hasta que todas las operaciones involucradas en la cadena terminan. Cada actuacin sobre el carril es sincronizada a travs de una seal T proporcionada por un encoder solidario al eje del motor M (T se activa para determinar el final de avance del carril).

    Se realizan cinco operaciones simultneas en el proceso: A.- . Las obleas se proporcionan en barras de semiconductor. Activacin corte: A1, finalizacin: O1. B.- Mediante la aplicacin de una serie de mscaras se logra transferir el diseo a las obleas. Activacin del fotolitografiado: B1, finalizacin: O2. C.- Se desechan las obleas con un nmero de impurezas elevado. Activacin inspeccin: C1, la operacin tendr una duracin como mximo de 20 s. D.- !" Cada uno de los chips ha de colocarse en su encapsulado para poder establecer

    las uniones con las patillas correspondientes. Activacin montaje: D1, finalizacin: O3. E.- #. Por ltimo el chip debe someterse a unas pruebas de test para comprobar su correcto funcionamiento.

    Activacin proceso de test: E1. La operacin de test durar como mximo 1 minuto.

    Los sensores S1, S2, S3, S4 y S5 determinarn si existen o no elementos en la tarea correspondiente.

    Se pide: 1. Grafcet de nivel 2 del proceso. 2. Mapeado de E/S y marcas sobre el autmata S5-95U de Siemens. 3. Cdigo AWL del automatismo.

    $a. ( ) ( ) 11 == HH

    E

    S1 S2 S3 S4 S5

    M

    T

    E1

    A A1 O1

    Corte de las obleas

    B B1 O2

    Proceso de fotolitografa

    C C1

    Inspeccin chips de una

    oblea

    D D1 O3

    Montaje en la cpsula Test del chip

  • EXAMEN DE SEPTIEMBRE DE SERVOSISTEMAS (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    b. ( )

    =

    V

    1

    c. C=253nF

    0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5

    x 10-3

    -6000

    -4000

    -2000

    0

    2000

    4000

    6000

    Respuesta impulsional

    tiempo (sec)

    ampli

    tud

    1. ( )( )( )( )

    ( )23

    32

    232

    1

    2

    2

    ++

    +=

    ++=

    2.

    -4 -3.5 -3 -2.5 -2 -1.5 -1 -0.5 0 0.5-0.8

    -0.6

    -0.4

    -0.2

    0

    0.2

    0.4

    0.6

    0.8LDR

    eje real

    eje

    imagin

    ario

    3. ( ) ( )WW = 22 4.

  • EXAMEN DE SEPTIEMBRE DE SERVOSISTEMAS (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    Salida

    tiempo (sec)

    ampli

    tud

    0 1 2 3 4 5 6-0.5

    -0.45

    -0.4

    -0.35

    -0.3

    -0.25

    -0.2

    -0.15

    -0.1

    -0.05

    0

    Para pasar de uno a otro bastar con sustituir las seales indicadas por: M1: Mover Cinta T: Cinta en posicin S1: Barra detectada A1: Activar corte S2: Hay oblea en fotolitografa B1: Activar fotolitografa O2: Fin de fotolitografiado

    0

    4

    5

    7

    8

    9 6

    T

    M1

    B1

    1

    S2

    S2

    O2

    S3

    T/8/20

    S3 C1 S1 2

    3

    O1

    A1

    1

    S1

    10

    11

    12

    S4

    S4

    O3

    13

    14

    15

    S5

    S5

    T/14/60

    D1 E1

    S1

  • EXAMEN DE SEPTIEMBRE DE SERVOSISTEMAS (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    S3: hay oblea en inspeccin C1: Activar inspeccin T/8/20 pasaron 20s tras activar inspeccin S4: Hay chip para montar D1: Activar montaje O3: Fin montaje S5: Hay chip en Test E1: Activar Test T/14/60 pasaron 60s tras activar Test

    Las etapas 1, 4, 7, 10 y 13 son intermedias. Las etapas 3, 6, 9, 12 y 15 son etapas de espera para sincronizar todas las ramas de forma que se pueda mover la cinta de nuevo.

    $% &'!'()*Las Marcas asociadas a los estados se pondrn en funcin del valor numrico del estado de la forma siguiente: Para 8 les asignamos las marcas M1.(-8) respectivamente.

    Entradas: ' T E32.0 S1 E32.1 S2 E32.2 S3 E32.3 S4 E32.4 S5 E32.5 O1 E32.6 O2 E32.7 O3 E33.0

    Salidas: ' 'M1 A32.0 A1 A32.1 B1 A32.2 C1 A32.3 D1 A32.4 E1 A32.5

    +,-.%

  • EXAMEN DE SEPTIEMBRE DE SERVOSISTEMAS (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    2%

    SPA PB1 SPA PB2 BE

    2%

    R M0.1 R M0.2 R M0.3 R M0.4 R M0.5 R M0.6 R M0.7 R M1.0 R M1.1 R M1.2 R M1.3 R M1.4 R M1.5 R M1.6 R M1.7 S M0.0 BE

    3%

    U M0.3 U M0.6 U M1.1 U M1.4 U M1.7 S M0.0

    U M0.0 U T S M0.1 S M0.4 S M0.7 S M1.2 S M1.5 *****

    U M0.1 UN S1 S M0.2 *****

    U M0.2 U O1 O( U M0.1 UN S1 ) S M0.3 *****

    U M0.4 U S2 S M0.5 *****

    U M0.5 U O2 O( U M0.4 UN S2 )

    S M0.6 *****

    U M0.7 U S3 S M1.0 *****

    U M1.0 U T1 O( U M0.7 UN S3 ) S M1.1 *****

    U M1.2 U S4 S M1.3 *****

    U M1.3 U O3 O( U M1.2 UN S4 ) S M1.4 ******

    U M1.5 U S5 S M1.6 *****

    U M1.6 U T2 O( U M1.5 UN S5 ) S M1.7 *****

    U M0.1 R M0.0 U M0.2 R M0.1 U M0.3 R M0.2 R M0.1 U M0.5 R M0.4 U M0.6 R M0.4 R M0.5 U M1.0 R M0.7 U M1.1 R M1.0 R M0.7 U M1.3 R M1.2 U M1.4 R M1.3 R M1.2 U M1.6 R M1.5 U M1.7 R M1.5 R M1.6

    U M0.0 R M0.3 R M0.6 R M1.1 R M1.4 R M1.7 BE

    3%

    U M0.0 = -M1 U M0.2 = -A1 U M0.5 = -B1 U M1.0 = -C1 U M1.3 = -D1 U M1.6 = -E1 U M1.0 L KT 200.1 SE T1 U M1.6 L KT 600.1 SE T2 BE

  • EXAMEN FINAL DE SERVOSISTEMAS (03/04)

    Dpto. Electrnica, Automtica e Informtica Industrial Escuela Universitaria de Ingeniera Tcnica Industrial de Madrid

    Un sistema de servodireccin en un automvil permite reducir el esfuerzo que el conductor debe efectuar para girar el volante. Este dispositivo es especialmente til en maniobras de aparcamiento.

    Mediante un captador en la rtula A se dispone del valor de V

    . Al actuar sobre el volante del automvil, se conoce tambin el ngulo girado por el conductor L

    (referencia o entrada del sistema), teniendo en cuenta que la desmultiplicacin entre el giro del volante y las ruedas es de 9:1 (H(s)). La orden de giro proporcionada por el conductor al girar el volante, produce una sobrepresin por parte del actuador (cilindro hidrulico), generando sobre la cremallera de direccin una fuerza F que se aplica sobre la rtula A, provocando el giro de las ruedas. Debido a la masa del tren de rodadura y al contacto de la rueda con el suelo existirn asociados al equilibrio de momentos o pares sobre la rtula B las constantes J y B correspondientes al momento de inercia del conjunto y al rozamiento viscoso respectivamente. El comportamiento dinmico del actuador hidrulico P(s) se puede aproximar a un filtro paso bajo de primer orden de pulsacin a la frecuencia de corte de 2 rad/s y ganancia 5. C(s) es un bloque compensador de valor .

    Nota: 25 = 25= 25= Se pide:

    1. Ecuacin diferencial que relaciona la fuerza F con el ngulo girado V

    . Linealizar la expresin para 0=V

    . 2. Expresin de la funcin de transferencia en cadena abierta y en lazo cerrado. 3. Valor de para que el error de velocidad en lazo cerrado sea menor de un 5% ante entrada rampa. 4. Lugar de las races. 5. Valor mximo de para que el sistema sea estable (crticamente estable).

    Cilindro hidrulico

    Grupo diferencial

    C(s) P(s) G(s)

    H(s)

    L

    V

    F

    s

    F

    $

    %

    G

  • EXAMEN FINAL DE SERVOSISTEMAS (03/04)