LabDisLog Pract 5xb (1)

2
LABORATORIO DE DISEÑO LÓGICO Prof. Francisco Javier Sánchez Rangel Página 5.1 UNIVERSIDAD AUTÓNOMA METROPOLITANA AZCAPOTZALCO LABORATORIO DE DISEÑO LÓGICO I PRÁCTICA No. 5. “DISEÑO DE CIRCUITOS ARITMÉTICOSOBJETIVOS: 1. Diseñar e implementar circuitos aritméticos empleando compuertas lógicas. 2. Diseñar e implementar circuitos aritméticos empleando bloques MSI. 3. Diseñar e implementar circuitos aritméticos empleando VHDL. DESARROLLO TEÓRICO. 1. Diseño de un sumador completo de 4 bits en paralelo. 1.1. Diseñar un sumador completo de 4 bits en paralelo empleando VHDL. Utilice instanciación. 2. Diseño de un restador completo. 2.1. Diseñar un restador completo empleando compuertas lógicas. Indicar el procedimiento de diseño. 2.2. Viendo sus tablas de verdad ¿Qué diferencia existe entre un sumador completo y un restador completo? ¿Cómo podemos implementar un restador completo a partir de un sumador completo? 3. Diseño de un multiplicador binario. 3.1. Diseñar empleando VHDL un multiplicador binario que multiplique dos factores de dos bits cada uno. A 1 A 0 x B 1 B 0 = C 3 C 2 C 1 C 0 3.2. Si los factores de la multiplicación fueran de 4 bits cada uno ¿De cuántos bits seria el resultado de salida? 4. Diseño de un sumador-restador. 4.1. Diseñar un sumador-restador de 4 bits empleando los circuitos integrados 74LS283 y 74LS86. Indicar el procedimiento de diseño.

Transcript of LabDisLog Pract 5xb (1)

Page 1: LabDisLog Pract 5xb (1)

LABORATORIO DE DISEÑO LÓGICO

Prof. Francisco Javier Sánchez Rangel Página 5.1

UNIVERSIDAD AUTÓNOMA METROPOLITANA

AZCAPOTZALCO

LABORATORIO DE DISEÑO LÓGICO I

PRÁCTICA No. 5.

“DISEÑO DE CIRCUITOS ARITMÉTICOS”

OBJETIVOS:

1. Diseñar e implementar circuitos aritméticos empleando compuertas lógicas.

2. Diseñar e implementar circuitos aritméticos empleando bloques MSI.

3. Diseñar e implementar circuitos aritméticos empleando VHDL.

DESARROLLO TEÓRICO.

1. Diseño de un sumador completo de 4 bits en paralelo.

1.1. Diseñar un sumador completo de 4 bits en paralelo empleando VHDL. Utilice

instanciación.

2. Diseño de un restador completo.

2.1. Diseñar un restador completo empleando compuertas lógicas. Indicar el

procedimiento de diseño.

2.2. Viendo sus tablas de verdad ¿Qué diferencia existe entre un sumador completo

y un restador completo? ¿Cómo podemos implementar un restador completo a

partir de un sumador completo?

3. Diseño de un multiplicador binario.

3.1. Diseñar empleando VHDL un multiplicador binario que multiplique dos

factores de dos bits cada uno.

A1A0 x B1B0 = C3C2C1C0

3.2. Si los factores de la multiplicación fueran de 4 bits cada uno ¿De cuántos bits

seria el resultado de salida?

4. Diseño de un sumador-restador.

4.1. Diseñar un sumador-restador de 4 bits empleando los circuitos integrados

74LS283 y 74LS86. Indicar el procedimiento de diseño.

Page 2: LabDisLog Pract 5xb (1)

LABORATORIO DE DISEÑO LÓGICO

Prof. Francisco Javier Sánchez Rangel Página 5.2

NOTA: Los diseños deberán hacerse usando el mínimo de Circuitos Integrados.

DESARROLLO EXPERIMENTAL.

i) Implementar y comprobar el funcionamiento del sumador completo de 4 bits en

paralelo diseñado en VHDL.

ii) Implementar y comprobar el funcionamiento del restador completo diseñado con

compuertas lógicas.

iii) Implementar y comprobar el funcionamiento del multiplicador binario diseñado en

VHDL.

iv) Implementar y comprobar el funcionamiento del sumador-restador de 4 bits.

MATERIAL.

Circuitos integrados: Los que se necesiten según el diseño.

9 interruptores dos polos un tiro.

5 LED´s.

Tablilla de conexiones (Protoboard).

Alambre telefónico.

Cables de conexiones de alimentación.

Pinzas de punta, de corte, y de pelar.

Manual TTL Data Book.

EQUIPO:

Fuente de voltaje de 5 V.

Tarjeta de desarrollo NEXYS 3.