Practicas de Electronica Digital 1

166
Objetivo: Aprender el funcionamiento y la aplicación básica de conexiones de diodos emisores de luz (LED) por medio de interruptores y resistencias, así como conocer la construcción y funcionamiento de la tablilla de conexiones (Protoboard). Hipótesis: Se realizaran circuitos eléctricos utilizando interruptores de distintos tipo y conectados de forma paralela y en serie, estos interruptores impedirán el flujo de la corriente eléctrica ocasionado que el LED se encuentra encendido o apagado según sea el caso. Marco Teórico: Distribución de la tablilla de conexiones (protoboard) La tablilla de conexiones está construida por un bloque central y dos tiras en los extremos. El bloque central está formado por grupos de cinco contactos conectados en común, divididos por una canaleta central, de manera que cuando un componente o dispositivo se inserta en la tablilla, quedan cuatro contactos libres para interconexiones con las terminales del dispositivo. En las tiras de los extremos hay ocho grupos de 25 contactos comunes, las cuales son convenientes para señales como VCD (voltaje de corriente directa o positiva), GND (tierra o negativo) o cualquier señal que requiera más de cinco contactos comunes. Es recomendable usar terminales o alambre de calibre 24 o 26 para la interconexión, ya que usar alambre de calibre más grueso muy probablemente dañaría los contactos de la tablilla de terminales. Alambres Para la interconexión de los elementos del circuito dentro de la tablilla de conexiones, se recomienda usar alambres de calibre 24 o 26 para la interconexión, ya que un alambre de calibre más grueso muy probablemente dañaría los contactos de la tablilla de

Transcript of Practicas de Electronica Digital 1

Objetivo:Aprender el funcionamiento y la aplicacin bsica de conexiones de diodos emisores de luz (LED) por medio de interruptores y resistencias, as como conocer la construccin y funcionamiento de la tablilla de conexiones (Protoboard).Hiptesis:Se realizaran circuitos elctricos utilizando interruptores de distintos tipo y conectados de forma paralela y en serie, estos interruptores impedirn el flujo de la corriente elctrica ocasionado que el LED se encuentra encendido o apagado segn sea el caso.Marco Terico:Distribucin de la tablilla de conexiones (protoboard)La tablilla de conexiones est construida por un bloque central y dos tiras en los extremos. El bloque central est formado por grupos de cinco contactos conectados en comn, divididos por una canaleta central, de manera que cuando un componente o dispositivo se inserta en la tablilla, quedan cuatro contactos libres para interconexiones con las terminales del dispositivo.En las tiras de los extremos hay ocho grupos de 25 contactos comunes, las cuales son convenientes para seales como VCD (voltaje de corriente directa o positiva), GND (tierra o negativo) o cualquier seal que requiera ms de cinco contactos comunes. Es recomendable usar terminales o alambre de calibre 24 o 26 para la interconexin, ya que usar alambre de calibre ms grueso muy probablemente daara los contactos de la tablilla de terminales.AlambresPara la interconexin de los elementos del circuito dentro de la tablilla de conexiones, se recomienda usar alambres de calibre 24 o 26 para la interconexin, ya que un alambre de calibre ms grueso muy probablemente daara los contactos de la tablilla de conexiones, es conveniente tener preparados alambres de diferentes tamaos.Los extremos de los alambres debern estar descubiertos por lo menos 0.7 cm. con el propsito de establecer un buen contacto en la tablilla de conexiones.Para descubrir los extremos se recomienda utilizar un par de pinzas. Una pinza de punta para sujetar firmemente el alambre y la otra, de corte para cortar slo el plstico y estirar.Considere que el plstico del cable no es conductor y que slo la parte metlica del extremo es la que se debe introducir para hacer contacto con la tablilla de conexiones.

Diodo emisor de luz (Led)El Diodo emisor de luz es un componente electrnico semiconductor, que conduce la corriente solo en un sentido, cuando es polarizarlo directamente (nodo positivo y el ctodo al negativo). Como la corriente est circulando a travs del diodo ste emite luz, por lo es muy til en funciones de sealizacin, esttica y, actualmente, iluminacin.Una vez que el Led entra en conduccin es incapaz de limitar la corriente que pasa a travs de l, por lo que es necesario limitarla externamente usando un resistor colocado en serie con el Led de valor tal que no exceda el lmite mximo de corriente permitido, que podra daar permanentemente el Led.Existen muchos tipos de Leds con tamaos, caractersticas luminosas y elctricas muy distintas.Los Leds ms comunes son los llamados econmicos, de 5 mm, los cuales tienen una cada de voltaje en conduccin (encendido) puede variar de 1.8 a 2.4 V.ResistoresSe denomina resistor al componente electrnico diseado para introducir una resistencia elctrica determinada entre dos puntos de un circuito. Los resistores se utilizan en los circuitos para limitar el valor de la corriente para fijar el valor del Voltaje.Un resistor se caracteriza por tres valores: resistencia elctrica, tolerancia y potencia mxima disipada. El valor de la resistencia y la tolerancia se indican normalmente en el encapsulado con un cdigo de franjas de colores como se muestra a continuacin.

Tabla de identificacin de resistencias

Push botnEs un interruptor de dos posiciones del tipo de no retencin y hay de dos tipos el normalmente abierto (NA) y el normalmente cerrado (NC), en donde la condicin normal es el interruptor sin presionar. El requerido para estas prcticas es el NA, se le denomina de no retencin porque al no presionarlo regresa a su posicin normal.

Desarrollo Experimental:

Materiales:1. Un eliminador de bateras con la siguientes caractersticas:Voltaje de entrada 110-220 V de corriente alternaFrecuencia 50-60 HzIntensidad de corriente mayor de 500 mA1. 3 diodos emisores de luz1. 3 resistencias de 330 de W1. 1 dip switch1. 3 Push buttons

Procedimiento:1 circuito: se realiz una conexin del primer LED por medio del terminal nodo de dicho componente al contacto de VCD de la tablilla de conexiones y la terminal ctodo del LED a el primer contacto del bloque central del Protoboard, despus en el mismo contacto o hilera se conect un interruptor de no retencin normalmente abierto (push button) de 4 terminales, luego de esto se realiza una conexin de una resistencia de 330 en forma diagonal, es decir en la terminal del push button contraria a la terminal donde fue conectado el LED, y la otra terminal de la resistencia en la conexin GND (tierra o negativa) del Protoboard, no importa la forma o posicin que sea conectada la resistencia ya que esta no tiene polaridad.

Diagrama electrnicoDiagrama electrnico usando un interruptor de no retencin.Fotografa de circuito elctrico usando un interruptor de no retencin.Resultado

+VnodoCtodo R = 330 ??GndNA

Discusin de resultados (1 circuito):Ya conectado correctamente los componentes, se oprimi el botn cerrando el circuito el cual permite el flujo de la corriente elctrica ocasionando que el LED se encienda y se apaga al momento de soltarlo.

2 circuito: se realizo una conexin con un segundo LED de la misma forma que el anterior (nodo en contacto VCD y ctodo en contacto de bloque central), despus se conectara un dip switch el cual es un interruptor de 2 posiciones de tipo deslizable de 8 entradas de flujo continuo, en este caso solo utilizaremos una entrada, una vez conectado el LED en cualquiera de los 8 contactos relacionados con el dip switch, del lado contrario del dip switch pero por el mismo contacto o hilera del LED se conectara una terminal de la resistencia de 330 y la otra terminal en Gnd (tierra o negativo).

Diagrama electrnicoFotografa del circuito usando un dip Switch (interruptor deslizable).Diagrama electrnico usando un dip switch (interruptor deslizable).Resultado

+VnodoCtodo R = 330 ??GndSW1

Discusin de resultado (2 circuito):Comprobando que las conexiones son correctas, deslizamos el interruptor (dip switch) de OFF a ON, el cual cierra el circuito provocando el flujo continuo de la corriente elctrica, esto ocasiona que el LED se encienda y el LED se apaga solo hasta que vuelvas a deslizar el interruptor y abra el circuito.

3 circuito: este circuito se realiz por medio de 2 push button A y B conectados en serie con una LED y su respectiva resistencia, primeramente se conect el de la lnea de VDC a un contacto central, como siguiente paso se conect la terminal izquierda superior de un push button (push button A) a la hilera donde est conectado el LED, despus se conect el segundo push button (push button B) del lado izquierdo que el interruptor anterior. En push button A en la Terminal de salida del lado contrario a donde est conectado el LED, se conect un alambre calibre 24 o 26 a la terminal de entrada del push button B, despus de esto se conect una resistencia de 330 en la terminal de salida del push button B del lado contrario del alambre a la lnea de Gnd (tierra o negativo) del Protoboard.

Diagrama electrnicoFotografa del circuito en serie usando dos push buttons (interruptor de no retencin).Diagrama electrnico de un circuito en serie utilizando dos push buttons.Resultado

NA+VCtodo R = 330 ??GndNA

Discusin de resultados (3 circuito):Ya conectado nuestro circuito en serie realizaron pruebas teniendo un valor de salida S, oprimiendo los botones A y B se realiz una tabla el cual se consider que el valor de salida S = 1, correspondo al LED encendido y el valor de salida S = 0, corresponde al LED apagado teniendo en cuenta que para los botones A y B, el valor 0 corresponde al botn sin oprimir y el valor 1 corresponde al botn oprimido, llegando el siguiente resultado.

Push button APush Button BS

000

010

100

111

4 circuito: para este circuito se utilizaron nuevamente dos push button pero esta vez sern conectados en forma paralela, como primer paso conectaremos los dos push button (A y B), uno al lado del otro, en la hilera de la terminal de entrada del push button A se conectara el LED hacia la lnea de VDC, en esa misma hilera se conectara un alambre hacia la hilera de la terminal de entrada del push button B, como siguiente se conectara otro alambre de la terminal de salida del push button A del lado contrario de la terminal donde fue conectado el LED, hacia la terminal de salida del push button B del lado contrario de donde fue conectado el primer alambre, por ltimo en la hilera o contacto donde fue conectado el alambre de la terminal de salida del push button B, se conectara una resistencia de 330 hacia la lnea de Gnd (tierra o negativa) del protoboard.

Diagrama electrnicoFotografa del circuito en paralelo usando dos push buttons (interruptor de no retencin).Diagrama electrnico de un circuito en paralelo utilizando dos push buttons.Resultado

NA+VCtodo R = 330 ??GndNA

Discusin de resultados (4 circuito)Se realizaron pruebas como el 3 circuito utilizando la misma metodologa que es: 1. Teniendo un valor de salida S, oprimiendo los botones A y B 1. Se consider que el valor de salida S = 1, correspondo al LED encendido 1. El valor de salida S = 0, corresponde al LED apagado.Teniendo en cuenta que para los botones A y B.1. El valor 0 corresponde al botn sin oprimir.1. El valor 1 corresponde al botn oprimido.Los resultados fueron capturados en una tabla siendo los siguientes:Push button APush Button BS

000

011

101Fotografa del circuito usando un interruptor de no retencin.

111

En el laboratorio de Digital se realiz una 5 prctica que consiste en conectar un LED en forma paralela a un dip switch la cual se realiz de la siguiente forma:Se conect un Led de la lnea de VCD a una hilera de los bloques centrales del protoboard, despus se conect un dip swicht donde la primera entrada del dip swicht se conectara en la misma hilera del LED, como siguiente se conectara u alambre desde la hilera de entrada 1 del dip switch donde est conectado el LED hacia cualquiera de la entradas del dip switch (en este caso la entrada 5), luego en las terminales de salida del dip swicht se conectara un alambre desde la hilera de la primera salida hasta la hilera donde se haya conectado en otro alambre de entrada (entrada 5 para este ejemplo), el siguiente paso sera conectan la resistencia de 330 desde la hilera de salida donde fue conectado el alambre (salida 5) hacia la lnea Gnd (tierra o negativa) del protoboard.

Resultado Fotografa del circuito en paralelo usando dip switch (interruptor deslizable).

Fotografa del circuito implementado.

Fotografa de los circuitos realizados: 1 circuito con interruptor de no retencin, 2 circuito con interruptor deslizable, 3 circuito en serie con dos interruptores y 4 circuito en paralelo con dos interruptores.

CuestionarioQu es un LED?Es un diodo emisor de luz (Light Emitting Diode).

Cmo identificas las terminales de nodo y ctodo de un LED?La terminal del lado plano es el ctodo y la otra terminal es el nodo.

Cul es la ecuacin para determinar la corriente que pasa a travs de un LED?Se utiliza la ley de ohms .

Qu pasara con un LED si se conecta directo a una fuente de voltaje sin resistor?Se enciende y si la corriente es mayor a la que soporta se funde.

De qu depende la intensidad luminosa de un LED?De la intensidad de corriente que se le aplique y la resistencia.

A qu rango de voltaje se le considera un 1 lgico en los dispositivos digitales ms comunes?Entre 2.0 y 5.0 volts.

A qu rango de voltaje se le considera un 0 lgico en los dispositivos digitales ms comunes?Entre 0 y 0.8 volts.

Conclusiones: Se comprob que los interruptores utilizados, como su nombre lo dice interrumpen el paso de la corriente elctrica, su funcin es abrir o cerrar el circuito elctrico. Se aprendi el funcionamiento de una plantilla de conexiones (protoboard) para que los circuitos funcionaran correctamente. Se conoci el comportamiento de los LED con los interruptores en circuito paralelo y en serie, su polaridad, su resistencia y su dependencia de funcionamiento.

Bibliografa:Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL.M.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mx

Objetivo:Aprender el funcionamiento de los distintos operadores lgicos AND, OR, NAND, NOR, NOT, EXOR Y EXNOR, analizando su smbolo, tabla de verdad y ecuaciones, mediante la conexin fsica de circuitos integrados (chips, dispositivos de funcin fija TTL).

Hiptesis:Se realizaran circuitos elctricos utilizando los dispositivos de funcin fija (TTL), dependiendo de las puertas lgicas y su ecuacin de algebra Booleana de dicho dispositivos, los impulsos de salida harn que encienda o apague el LED conectado en la salida puertas lgicas.

Marco Terico:Operaciones booleanasOperador And La operacin And esta relacionada con el trmino condicin y es exactamente igual a la multiplicacin ordinaria de unos y ceros. Una salida igual a 1 ocurre slo en el nico caso en que todas las entradas son 1. La salida es cero cuando una o ms de las entradas son iguales a 0.El smbolo de la compuerta And se muestra en la figura.La expresin matemtica de esta operacin puede representarse por:X = A B o, tambin, X = A*B como se observa en la Fig. 1.

Fig. 1. Operacin AND con 2 entradas.

En otras palabras, la compuerta And es un circuito que opera de forma tal que su salida es ALTA slo cuando todas sus entradas son ALTAS; o bien, su salida es BAJA cuando cuales quiera de sus entradas son BAJAS.La tabla de verdad para la compuerta And de dos entradas, A y B, y la salida X se muestra a en la tabla 1:TABLA DE VERDAD

Entrada AEntrada BS

000

010

100

111

Tabla 1. Tabla de verdad para una operacin AND de 2 entradas.

A continuacin vemos que en la Fig. 2 y 3 el circuito elctrico para un operador And donde el foco enciende slo cuando los interruptores A y B estn en posicin 1 (cerrados).

Fig. 2. Diagrama elctrico de un circuito abierto para un operador AND.Fig. 3. Diagrama elctrico de un circuito cerrado para un operador AND.

Fig. 4. Un circuito integrado TTL con cuatro operadores And de dos entradas.

Operador OR La operacin OR est relacionada con el trmino alternativa y produce un resultado 1 cuando cualquiera de las variables de entrada es 1. La operacin OR genera un resultado de 0 slo cuando todas las variables de entrada son 0.El smbolo de la compuerta OR se muestra en esta fig. 5. Fig. 5. Operacin OR con 2 entradas.

La expresin matemtica de la operacin OR es: X = A + B. La tabla de verdad para la compuerta OR de dos entradas A y B, y la salida X se presenta a continuacin en la tabla 2:

TABLA DE VERDAD

Entrada AEntrada BS

000

011

101

111

Tabla 2. Tabla de verdad para una operacin OR de 2 entradas.

A continuacin vemos la Fig. 6 de un circuito elctrico para un operador OR donde el foco enciende cuando cualquiera de los interruptores A o B estn en posicin 1, o ambos (es decir, cerrados). Fig. 6. Diagrama elctrico de un circuito abierto para un operador OR.

Fig. 7. Circuito integrado TTL con cuatro operadores OR de dos entradas.

Operador NOT (negacin)La operacin NOT est definida para una sola variable y es muy simple, ya que slo tiene dos posibilidades: si la entrada es 0 la salida es igual a 1, y viceversa. Fig. 8. Smbolo de la operacin NOT.

TABLA DE VERDAD

Entrada AS

01

10

Tabla 3. Tabla de verdad para una operacin NOT de 1 entrada.

Fig. 9. Circuito integrado TTL con seis operadores NOT.

Operador EXORLa operacin EXOR produce un resultado de 1 cuando un nmero impar de variables de entrada vale 1.El smbolo de la compuerta EOXR se muestra en la Fig. 10, en tanto que la expresin matemtica para una compuerta EXOR de dos entradas es:X = A B. Fig. 10. Operacin EXOR con 2 entradas.

La tabla de verdad para la compuerta EXOR de dos entradas, A y B, y la salida X se presentan a continuacin en la Tabla 4:

TABLA DE VERDAD

Entrada AEntrada BS

000

011

101

110

Tabla 4. Tabla de verdad para una operacin EXOR de 2 entradas.

El interruptor usado en el circuito elctrico para la demostracin del EXOR es diferente a los utilizados en los circuitos de la AND y OR; este interruptor se conoce como un tiro y dos polos, como se observa en la figura 11. Fig. 11. Diagrama de interruptor de dos polos.

Ahora vemos un circuito elctrico para un operador EXOR, donde el foco enciende cuando cualquiera de los interruptores A o B estn en posicin 1 (cerrados), pero no ambos.

Fig. 12. Diagrama elctrico de un circuito abierto para un operador EXOR.Fig. 13. Diagrama elctrico de un circuito cuando un solo interruptor esta cerrado, para un operador EXOR.

Fig. 14. Circuito integrado TTL con cuatro operadores EXOR de dos entradas.

Operador Nand (And negado)La operacin Nand es la negacin de la salida de la operacin And.El smbolo de la compuerta Nand se muestra en la figura 15. La expresin matemtica de la compuerta Nand se describe como:X = A B, (A B). Fig. 15. Operacin EXOR con 2 entradas.

En otras palabras, la compuerta Nand es un circuito que opera de tal forma que su salida es BAJA slo cuando todas sus entradas son ALTAS. O, tambin, su salida es ALTA cuando cualquiera de sus entradas es BAJA.La tabla de verdad para la compuerta Nand de dos entradas A y B, y la salida X se muestran a continuacin en la tabla 5:TABLA DE VERDAD

Entrada AEntrada BS

001

011

101

110

Tabla 5. Tabla de verdad para una operacin NAND de 2 entradas.

Fig. 16. Circuito integrado TTL con cuatro operadores NAND de dos entradas.

Operador Nor (Or negado)La operacin Nor es la negacin de la salida de la operacin Or.El smbolo de la compuerta Nor se muestra en la figura 17. La expresin matemtica de la compuerta Nor es:X = A+B, (A+B). Fig. 17. Operacin NOR con 2 entradas.

En otras palabras, la compuerta Nor es un circuito que opera para que su salida sea BAJA cuando cualquiera de sus entradas es ALTA. O, tambin, su salida es ALTA slo cuando todas sus entradas son BAJAS.La tabla de verdad para la compuerta Nor de dos entradas A y B, y la salida X se muestran a continuacin en la tabla 6:TABLA DE VERDAD

Entrada AEntrada BS

001

010

100

110

Tabla 6. Tabla de verdad para una operacin NOR de 2 entradas.

Fig. 18. Circuito integrado TTL con cuatro operadores NOR de dos entradas.

Operador Exnor (Exor negado)Su smbolo y tabla de verdad para dos entradas es la figura 19 y tabla 7.

Fig. 19. Operacin EXNOR con 2 entradas.

TABLA DE VERDAD

Entrada AEntrada BS

001

010

100

111

Tabla 7. Tabla de verdad para una operacin EXNOR de 2 entradas.

Fig. 20. Circuito integrado TTL con cuatro operadores EXNOR de dos entradas.

Desarrollo Experimental:Materiales:1. Un eliminador de bateras con la siguientes caractersticas:Voltaje de entrada 110-220 V de corriente alternaFrecuencia 50-60 HzIntensidad de corriente mayor de 500 mA1. 9 diodos emisores de luz1. 9 resistencias de 330 de W1. 1 dip switch1. 4 Push buttons1. Circuitos integrados SN7408, SN7432 y SN7486Procedimiento:1 circuito: Para este primer circuito primeramente se conectan un dip switch y el circuito integrado TTL (SN7408) al lado del dip switch como se observa en la figura 21, para este dip switch utilizaremos 2 entradas las cuales se conectara una terminal del LED (nodo) en lnea de VCD y la otro terminal (ctodo) en la entrada del dip switch, el otro LED se conectara de la misma forma pero en otra entrada (ver la figura 21), por la misma lnea donde fue conectado el LED se conectara una resistencia de 330 pero este en la lnea de salida del dip switch, hacia la lnea de GND (tierra o negativo), una resistencia para cada LED conectado, como siguiente en la lnea del primer LED conectado, en la terminal de salida del dip switch se conectara un alambre calibre 24 o 26 hacia la primera entrada del circuito integrador TTL como se ve en la figura 22, y en la terminal de salida donde fue conectado el segundo LED se conectara un segundo alambre pero este ira conectado en la segunda entrada del circuito integrado como se observa en la figura 22, en la primera terminal de salida del circuito integrado TTL (SN7408) se conectara el lado nodo de un tercer LED y su lado ctodo ira conectado en una lnea que se encuentre sin componentes conectados como en la figura 21, en esa misma lnea despus de la terminal ctodo del LED se conectara una resistencia de 330 hacia la lnea de Gnd (tierra o negativo), para que el circuito funcione correctamente el circuito integrado TTL se debe conectar a la lnea de VCD que es la terminal 14 y tambin se debe de conectar a la lnea de Gnd que es la terminal 7 como se observa en la figura 21. Resultado Fig. 21. Fotografa de circuito elctrico usando un circuito integrado AND (SN7408).

Diagrama electrnicoFig. 22. Diagrama electrnico usando un circuito integrado AND (SN7408).

Discusin de resultados (1 circuito):Se realizaron pruebas con la siguiente metodologa: 1. Teniendo un valor de salida S, deslizando los botones A y B. 1. Se consider que el valor de salida S = 1, correspondo al LED encendido. 1. El valor de salida S = 0, corresponde al LED apagado.Teniendo en cuenta que para los botones A y B.1. El valor 0 corresponde al botn sin deslizar.1. El valor 1 corresponde al botn deslizado.Los resultados fueron capturados en la tabla 8 siendo los siguientes:TABLA DE VERDAD

Entrada AEntrada BS

000

010

100

111

Tabla 8. Tabla de verdad resultados del primer circuito.

2 circuito: Para este segundo circuito el procedimiento para conectar el circuito es el mismo, pero esta vez se utilizaran dos push buttons, primeramente se conectan 2 push buttons una al lado de otro, luego al lado del segundo push button se conecta el circuito integrador TTL (SN7432) como de observa en la figura 24, como siguiente se conectara un LED en la lnea de la primera terminal de entrada del primer push button y el segunda LED a la primera lnea de entrada del segundo push button, ambos LEDs deben de ir conectados su lado nodo a la lnea de VCD y su lado ctodo a la lnea de la tabla de conexiones (protoboard), en la salidas de cada push button de la terminal contraria al LED se conectara una resistencia de 330 hacia la lnea de Gnd como se ve en la figura 24, en la lnea de salida del primer push button donde fue conectado la resistencia se conectara un alambre calibre 24 o 26 hacia la primera terminal del circuito integrado TTL (SN7432) y se conectara un segundo alambre de la lnea de salida del segundo push button hacia la segunda terminal del circuito integrado como se observa en la figura 23, en la tercera terminal del circuito integrado se conectara el lado nodo de un tercer LED hacia una lnea libre, despus del LED en la lnea donde esta conectado el lado ctodo se conectara una resistencia de 330 hacia la lnea Gnd, ya conectado esto se conectara la terminal 14 del circuito integrado a la lnea de VCD por medio de un alambre y la terminal 7 se conectara a la lnea de Gnd (tierra o negativo).

Diagrama electrnicoResultado

Fig. 24. Fotografa del circuito usando un circuito integrado OR (SN7432).Fig. 23. Diagrama electrnico usando un circuito integrado OR (SN7432).

Discusin de resultado (2 circuito):Se realizaron pruebas con la misma metodologa que el anterior: 1. Teniendo un valor de salida S, oprimiendo los botones A y B. 1. Se consider que el valor de salida S = 1, correspondo al LED encendido. 1. El valor de salida S = 0, corresponde al LED apagado.Teniendo en cuenta que para los botones A y B.1. El valor 0 corresponde al botn sin oprimir.1. El valor 1 corresponde al botn oprimido.Los resultados fueron capturados en una tabla siendo los siguientes:

TABLA DE VERDAD

Push button APush Button BS

000

011

101Fotografa del circuito usando un interruptor de no retencin.

111

Tabla 9. Tabla de verdad resultados del segundo circuito.

3 circuito: Este circuito la conexin es la misma que la anterior (ver Fig. 26), conectamos 2 push buttons y despus conectamos el circuito integrado TTL (SN7486), despus conectamos dos LEDs, uno para cada push button, como los hemos conectado en los circuitos anteriores, terminal nodo en lnea VCD y terminal ctodo en lnea de tabla de conexiones (ver Fig. 26), como siguiente conectaremos en cada terminal de salida del push button una resistencia de 330 , de la lnea de terminal de salida a lnea de Gnd, en la lnea de salida del primer push button se conectara un alambre hacia la primera entrada del circuito integrado (ver Fig. 25), como siguiente se conectara otro alambre desde la terminal de salida del segundo push button a la segunda terminal de entrada del circuito integrado (observar Fig. 25), para la terminal de salida del circuito integrado se conectara un LED (terminal nodo) hacia una lnea que se encuentre sola (terminal ctodo), luego en la lnea donde se conecto la terminal ctodo del tercer LED se conectara una resistencia de 330 hacia la lnea GND del protoboard, ya realizado se conectara un alambre de la lnea donde esta conectado la terminal 14 del circuito integrado hacia la lnea de VCD como se observa en la fig. 26 y un alambre de la terminal 7 a la lnea de GND.

Diagrama electrnico Diagrama electrnicoFig. 26. Fotografa del circuito utilizando un circuito integrado EXOR (SN7486).Fig.25. Diagrama electrnico de utilizando un circuito integrado EXOR (SN7486). Resultado

Discusin de resultados (3 circuito)Se realizaron pruebas con la siguiente metodologa que es: 1. Teniendo un valor de salida S, oprimiendo los botones A y B 1. Se consider que el valor de salida S = 1, correspondo al LED encendido 1. El valor de salida S = 0, corresponde al LED apagado.Teniendo en cuenta que para los botones A y B.1. El valor 0 corresponde al botn sin oprimir.1. El valor 1 corresponde al botn oprimido.Los resultados fueron capturados en una tabla siendo los siguientes:TABLA DE VERDAD

Push button APush Button BS

000

011

101Fotografa del circuito usando un interruptor de no retencin.

110

Tabla 10. Tabla de verdad resultados del tercer circuito.

Resultados generales

Fotografa del circuito implementado. Fig. Fotografa de los circuitos realizados: 1 circuito utilizando un circuito integrado TTL AND (SN7408), 2 circuito utilizando un circuito integrado TTL OR (SN7432) y 3 circuito utilizando un circuito integrado TTL EXOR (SN7486).

Fig. 27. Resultados finales de conexin de circuitos

CuestionarioQuin desarrollo el algebra Booleana?George Boole, matemtico ingles.

Cul es el significado de TTL?Es la siglas en ingles de transistor-transistor logic (lgica transistor a transistor).

Cul es el significado de VCC?Significa voltaje de corriente continua o directa.

Cul es el mximo valor de voltaje de alimentacin para un circuito tpico TTL?5 volts de corriente contina.

Cul es el significado de GND?Tierra o negativa.

Cmo formara una operacin And de tres entradas usando compuertas And de slo dos entradas? Dibuje el circuito.Fig. 28. Este diagrama representa la operacin And de tres entradas implementada con dos And de dos entradas.

Conclusiones: Se demostr el funcionamiento de un circuito integrador TTL; los resultados de las operaciones Booleanas utilizando dichos circuitos, el cual fue una demostracin fsica que en este caso son los circuitos conectados en el protoboard utilizando LEDs como representacin de salidas ALTAS (cuando el LED se encuentra encendido) que es 1 y BAJAS (cuando el LED se encuentra apagado) que es 0,se conocieron los resultados fsicos comparando mas a detalle los resultados obtenidos tericamente, dependiendo del circuito integrado utilizado, por lo que se comprob la hiptesis realizada para esta practica.

Bibliografa:Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL.M.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mxObjetivo:Aprender a realizar operaciones Booleanas por medio de dispositivos de funcin fija (TTL), analizar su simbologa y tabla de verdad mediante la conexin fsica del circuito.Hiptesis:Se realizaran conexiones de componentes electrnicos dependiendo de las funciones Booleanas que fueron asignadas, para obtener impulsos binarios de las puertas lgicas de salida que sern: 1 cuando el LED se encuentra encendido y 0 cuando el LED se encuentra apagado, por medio de circuitos integrados (TTL) que dependern de la relacin de conexiones que se van a desarrollar.

Marco Terico:Teoremas de BooleHemos visto como se puede utilizar el algebra booleana como auxiliar en el anlisis de un circuito lgico y expresar su operacin matemticamente. Continuaremos nuestro estudio del algebra booleana investigando los diversos teoremas booleanos (reglas) que nos pueden servir para simplificar las expresiones y los circuitos lgicos. En cada teorema, x es una variable lgica que puede ser un 0 o un 1. Cada teorema se presenta con un diagrama que demuestra su validez.El teorema (1) enuncia que, si cualquier variable se opera con AND con un 0, el resultado tiene que ser cero. Esto es fcil de recordar porque la operacin AND es como la multiplicacin ordinaria, en la que cualquier nmero que se multiplica por 0 es 0. La salida de una compuerta AND ser cero siempre que cualquier entrada sea 0, independientemente del nivel de la otra entrada como se demuestra en la figura 1 y teorema (1).Teorema (1): Fig. 1. Diagrama del teorema 1.0x0xx

El teorema (2) tambin evidente por su comparacin con la multiplicacin ordinaria, en la que cualquier numero multiplicado por 1 es 1. Como se indica en la figura 2 y teorema (2).Teorema (2):

Fig. 2. Diagrama del teorema 2.1xx

El teorema (3) se puede demostrar ensayando cada caso. Si x = 0, entonces 0 * 0 = 0; si x = 1, entonces 1 * 1 = 1. Como se seala en la figura 3 y teorema (3).Teorema (3):

Fig. 3. Diagrama del teorema 3.xx

El teorema (4) se puede probar en la misma forma. Sin embargo, tambin puede razonarse que en cualquier momento, x o su inversa x, tiene que estar en el nivel 0, de modo que su producto AND siempre tiene que ser 0. Como se muestra en la figura 4 y teorema (4).Teorema (4):

0Fig. 4. Diagrama del teorema 4.x

El teorema (5) es directo ya que 0, sumado a cualquier numero, no altera su valor en la suma comn o en la adicin OR. Como se representa en la figura 5 y teorema (5)Teorema (5):

Fig. 5. Diagrama del teorema 5.0xxx

El teorema (6) afirma que, si cualquier variable se opera con OR con 1 , el resultado siempre sera 1. Verificamos esto con ambos valores de x: 0 + 1 = 1 y 1 + 1 = 1. De manera equivalente, podemos recordar que la salida de una compuerta R sera 1 cuando cualquier entrada sea 1, sin importar que valor tenga la otra. Esto se identifica con la figura 6 y teorema (6).Teorema (6):

Fig. 6. Diagrama del teorema 6.1x1xx

El teorema (7) puede demostrarse verificando los dos valores de x: 0 + 0 = 0 y 1 +1 = 1. Por lo que se revela con la figura 7 y teorema (7).Teorema (7):

Fig. 7. Diagrama del teorema 7.xx

El teorema (8) se puede probar en forma similar, o simplemente se puede razonar que en cualquier instante x o x tiene que estar en el nivel 1, de manera que siempre operemos con OR un o y un 1, que siempre da como resultado 1. Como se muestra en la figura 8 y teorema (8).Teorema (8):

Fig. 8. Diagrama del teorema 8.1x

Teoremas con mltiples variablesLos teoremas (9 a la 15) que se presentan a continuacin implican ms de un variable:

Teorema (9): Teorema (10): Teorema (11): Teorema (12): Teorema (13a): Teorema (13b): Teorema (14): Teorema (15):

Los teoremas (9) y (10) se denominan leyes conmutativas. Estas leyes indican que no importa el orden en que operamos dos variables con OR y AND; el resultado es el mismo.Los teoremas (11) y (12) son las leyes asociativas, las cuales afirman que podemos agrupar las variables en una expresin AND o en una OR en la forma que se desee.

El teorema (13) es la ley distributiva, la cual afirma que una expresin puede desarrollarse multiplicando trmino a trmino, como en el lgebra ordinaria. Este teorema indica asimismo que podemos factorizar una expresin. Es decir, si tenemos una suma de dos (o ms) trminos, y cada uno contiene una variable comn, esta se puede factorizar como en el lgebra ordinaria.Los teoremas (9) a (13) se pueden recordar fcilmente y son de uso sencillo, ya que son idnticos a los del lgebra ordinaria. Cada uno se puede demostrar ensayando todos los casos posibles para x y y. Esto se ilustra para el teorema (14) como sigue:

Caso 1. Para x = 0, y = 0,

Caso 2. Para x = 0, y = 1,

Caso 3. Para x = 1, y = 0,

Caso 4. Para x =1, y = 1,

El teorema (14) tambin se puede demostrar factorizando y usando los teoremas (6) y (2) como sigue:

[Usando el teorema (6)] [Usando el teorema (2)]Todos estos teoremas booleanos pueden ser de utilidad para simplificar una expresin lgica; es decir, para reducir el nmero de trminos de la expresin. Cuando se hace esto, la expresin reducida producir un circuito menos complejo que el que la expresin original habra generado

Desarrollo Experimental:Materiales:1. Un eliminador de bateras con la siguientes caractersticas:Voltaje de entrada 110-220 V de corriente alternaFrecuencia 50-60 HzIntensidad de corriente mayor de 500 mA1. 5 diodos emisores de luz1. 5 resistencias de 330 de W1. 3 Push buttons1. Circuitos integrados SN7408, SN7432 y SN7404

Procedimiento:Se realizara un circuito por medio de 2 funciones Booleanas las cuales son las siguientes:

Estas funciones se desarrollaran mediante circuitos integrados TTL. Se describir el procedimiento para elaborar las conexiones adecuadas para las funciones dadas.Como primer paso se conectaran 3 push buttons en la tablilla de conexiones como se muestra en la figura 9. Despus se conectaron 3 circuitos integrados TTL, que son SN7432, SN7404 y SN7408, fueron conectaros en ese orden, como se presenta en la figura 9, para estos circuitos deben de conectarse un alambre de la terminal 14 a la lnea VCD y otro de la terminal 7 a la lnea Gnd, los tres de la misma forma.Fig. 9. Fotografa de posicin de push buttons y circuitos integrados.

Despus de estas conexiones se colocaran 3 LEDs uno para cada push button; la terminal nodo en la lnea de VCD y la terminal ctodo en la terminal de entrada del push button que ms convenga, esto para dar una buena imagen a la representacin fsica del circuito (ver figura 10).

Fig. 10. Diagrama de conexin de LEDs.

Como siguiente se realizaran las conexiones para que el circuito funcione correctamente, para el primer push button lo representaremos con la variable X; en la terminal de salida de dicho push button del lado contrario a donde fue conectado el LED se conectar un alambre calibre 24 o 26 hacia la terminal 13 del circuito integrado TTL SN 7432, luego se conectar a partir de esa misma lnea (terminal 13 de SN7432) otro alambre hacia la terminal 13 del circuito TTL SN7408, como siguiente desde la lnea de salida del push button X se realizara una conexin hacia la terminal 1 del circuito integrado SN7432 como se revela en la figura 11.

Fig. 11. Diagrama de ejemplo de conexiones del push button X a circuitos TTL.

Procedemos a desarrollar las conexiones del segundo push button que tiene como variable Y; se realizara una conexin de la terminal de salida del push button (del lado contrario del LED) hacia la terminal 12 del circuito TTL SN7432, de la lnea de la terminal 11 del circuito TTL SN7432 se realiza una conexin hacia la terminal 1 del circuito integrado SN7408 como se exhibe en la figura 12.

Fig. 12. Diagrama de ejemplo de conexiones del push button Y a circuitos TTL.

Como siguiente paso en la lnea de salida del push button Y se elaborara una conexin hasta la terminal 1 del circuito integrado SN7404, desde la terminal 2 de dicho circuito se realizar una conexin desde esa lnea hacia la terminal 2 del circuito TTL SN7432, a partir de la terminal 3 del circuito TTL SN7432 se conectar un alambre a la terminal 4 de este mismo circuito como se muestra en la figura 13.

Fig. 13. Diagrama ejemplo de conexiones.

Ya realizadas las conexiones se elaborara una tercera conexin a partir del push button Y, desde la terminal de salida del push button hacia la terminal 9 del circuito integrado SN7408 como se representa en la figura 14.Fig. 14. Diagrama ejemplo de conexiones de push button Y a TTL SN7408.

Como siguiente se conectar las salidas del tercer push button (W); desde la terminal de salida del push button W se conectar un alambre hacia la terminal 5 del circuito TTL SN7432, por esa misma lnea se conectara otro alambre hasta la terminal 12 del SN7408; en la terminal 12 del SN7408 se conectar un alambre hacia la terminal 10 del mismo circuito integrado SN7408 como se indica en la figura 15.

Fig. 15. Diagrama ejemplo de conexiones de push button W a circuitos TTL.

Se conectar un alambre desde la terminal 11 del circuito integrado SN7408 hacia la terminal 10 del circuito integrado SN7432, despus de la unin anterior se conectar otro alambre desde la terminal 8 del circuito TTL SN7408 hacia la terminal 9 del circuito TTL SN7432, en este mismo circuito integrado (SN7432) se conectar un alambre de la terminal 6 hacia la terminal 2 del circuito TTL SN7408 como se representa en la figura 16.Fig. 16. Diagrama ejemplo de conexiones entre circuitos TTL.

Esas fueron las conexiones para representar las funciones asignadas, por ultimo necesitamos demostrar los resultados obtenidos de forma fsica, para esto utilizaremos LED para identificar los impulsos de Altas y Bajas.Primeramente para la funcin F1 se conectara un LED desde la terminal 3 del circuito integrado SN7408 (lado nodo) hacia una lnea libre (lado ctodo) seguido de este una resistencia de 330 de la lnea de la terminal ctodo del LED hacia la lnea GND (negativo o tierra).Luego se conectar un alambre desde la terminal 8 del circuito TTL SN7432 hacia una lnea libre de la tablilla de conexiones, en la misma lnea se conectar un LED (terminal nodo de la misma lnea que fue conectado el alambre), de la terminal ctodo se conectar una resistencia de 330 a la lnea Gnd como en la figura 17 y 18. Fig. 17. Diagrama elctrico de LEDs de salida.

Fig. 18. Foto de LEDs de salida.

Para que el circuito funcione correctamente se conectaran 3 resistencias de 330 uno en cada lnea de salida de los 3 push buttons como se indica en la figura 19. Fig. 19. Foto de conexin de resistencias.

Discusin de resultados:

Se realizaron pruebas con la siguiente metodologa: 1. Teniendo un valor de salida F1 y F2, presionando los botones X, Y y W. 1. Se consider que el valor de salida F1 = 1, correspondo al LED encendido. 1. El valor de salida F1 = 0, corresponde al LED apagado.1. Del mismo modo se efectu para F2.

Teniendo en cuenta que para los botones X, Y y W.1. El valor 0 corresponde al botn sin oprimir.1. El valor 1 corresponde al botn oprimido.

Los resultados fueron capturados en la tabla 1 siendo los siguientes:

TABLA DE VERDAD

Entrada AEntrada BEntrada BSalida F1Salida F2

00000

00100

01000

01111

10010

10111

11010

11111

Tabla 1. Tabla de verdad resultados del circuito.

Resultados generales

Fotografa del circuito implementado. Fig. Fotografa del circuito concluido: 1 circuito utilizando un circuito integrado TTL AND (SN7408), un circuito integrado TTL OR (SN7432) y 3 un circuito integrado TTL NOT (SN7404).

Fig. 20. Resultados finales de conexin del circuito.

Conclusiones: Se demostr el resultado obtenido aplicando funciones booleanas con circuitos integrados TTL por medio de LEDs en el salidas de las funciones realizadas, las cuales se compararon con una tabla de verdad realizada tericamente, que el circuito terminado manifestaba los salidas ALTAS cuando el LED se encontraba encendido (en la tabla de verdad 1 anteriormente realizada se expres como 1) y BAJAS cuando se encontraba apagado ( en la tabla de verdad 1 anteriormente realizada se expres como 0) llegando as a comprobar la hiptesis realizada y la investigacin hecha en esta prctica.

Bibliografa:Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL.Sistemas digitales, Ronald J. Tocci 8va edicinM.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mx

Objetivo:Conocer el smbolo, la expresin matemtica y la tabla de verdad de los operadores lgicos And y Or. Familiarizarse con el programa de captura esquemtica (Schematic). Conocer las caractersticas bsicas del GAL16V8. Aplicar el proceso de compilacin (ISP Starter). Programar el GAL16V8. Saber identificar las terminales de un circuito integrado a partir del archivo reporte (pin out).

Hiptesis:Se implementarn los operadores lgicos And y Or de cuatro a dos entradas en un dispositivo lgico programable (PLD), utilizando un programa de aplicacin de captura esquemtica; asimismo se comprobarn de forma prctica las tablas de verdad de cada operador lgico, alimentando las combinaciones del 0 al 16 binario (0000 al 1111), y obtener los valores de salida para cada combinacin.Marco Terico:Forma de suma de productos (SOP)Los mtodos de diseo y simplificacin de circuitos lgicos que se estudian requieren que la expresin lgica este en forma de suma de productos. Algunos ejemplos de esta forma son 1. ABC + ABC2. AB + ABC + CD + D3. AB + CD + EF + GK + HLCada una de estas expresiones de suma de productos consta de dos o ms trminos AND (productos) que se operan con OR. Cada termino AND consta de una o mas variables que aparecen en forma complementada o no complementada. Por ejemplo, en la expresin de suma de productos ABC + ABC el primer producto AND contiene las variables A, B y C en su forma no complementada (no invertida). El segundo termino AND contiene a A y a C en su forma complementada (invertida). Note que en una expresin de suma de productos, un signo de inversin no puede aparecer en ms de un variable en un trmino.Forma producto de sumas (POS)Existe otra forma general de expresiones loicas que a veces se usa en el diseo de circuitos lgicos. Se conoce como la forma de productos de suma y consiste en dos o ms trminos OR (sumas) que se operan con AND en conjunto. Cada termino OR contiene una o mas variables en forma complementada o no complementada. He aqu algunas expresiones de producto de sumas:1. (A + B + C)(A + C)2. (A + B)(C + D)F3. (A + C)(B + D)(B + C)(A + D + E)Los mtodos de simplificacin y diseo de circuito que se emplean estn basados en producto de sumas que consta de dos o ms trminos OR (sumas) que se operan con AND.Lgicos programablesSe dedicara esta prctica a aprender lo relativo a otro mtodo para implantar funciones lgicas que difieren marcadamente de las comunes. Utiliza algo llamado lgica programable y es especialmente til par implantar circuitos ms complejos que contengan decenas o centenas de compuertas lgicas. Los conceptos bsicos se presentaran a continuacin con ayuda de la figura 1.Fig. 1. Ejemplo simplificado de un dispositivo de lgica programable.

El bloque rectangular representa un ejemplo de un dispositivo lgico programable (PLD) el cual es un circuito que contiene una disposicin particular de compuertas lgicas. Existen muchos tipos de y todos contienen muchas mas compuertas que las pocas mencionadas en este ejemplo, pero usaremos este sencillo ejemplo para mostrar la idea fundamental de toda la lgica programable. Se debe reconocer que toda la lgica en este PLD simplificado es una estructura de suma de productos, con las compuertas AND alimentando una compuerta OR final. La salida X ser una funcin de suma de productos de las entradas A y B de datos. La funcin real de salida depender de cuales de las salidas de AND se ilustren conectadas con las entradas a la compuerta OR, por medio de los en laces o eslabones 1, 2, 3 y 4. Cada uno de estos enlaces puede quedar intacto, como se ilustra, o se pueden abrir en forma selectiva para desconectar la correspondiente salida de AND en la compuerta OR.El microcircuito de PLD viene con todos los enlacesintactos y todos estn dentro del CI. El PLD tiene entradas para programacin mismas que se pueden emplear para abrir, en forma un tanto selectiva, los enlaces que podrn en ejecucin la funcin particular de lgica que se desea. Las entradas para programacin se utilizan solo durante el proceso de programacin, para configurar las conexiones internas del microcircuito.Captura esquemticaUsando la captura esquemtica es posible fabricar un circuito integrado a la medida, con diagramas que representen los diferentes componentes del circuito y efectuando solamente interconexiones entre ellos.La gran ventaja de usar esta herramienta radica en la posibilidad de realizar los diseos por computadora, donde los errores se detectan y se corrigen fcilmente. Todo lo anterior agiliza el procedimiento, ya que se evita la fabricacin de varios circuitos integrados (chip) para verificar su funcionamiento, reduciendo as tanto el ciclo de diseo como el tiempo de obtencin de un producto.La desventaja surge en los diseos grandes, los cuales son difciles de comprender a causa de que hay demasiados componentes e interconexiones.Los cuatro componentes bsicos de la captura esquemtica son los smbolos, los conectores, las etiquetas y los puertos de entrada y/o salida. Como se muestra en la figura 2.Fig. 2. Diagrama de componentes bsicos para la captura esquemtica.

Los smbolos son una representacin grfica de los componentes. Como se representa en la figura 3.Fig. 3. Diagrama de ejemplo de componente (OR con dos entradas negadas).

Los conectores (alambre) sirven para la interconexin entre las terminales de los smbolos o dispositivos de entrada/salida. Como se seala en la figura 4.

Fig. 4. Diagrama de representacin de alambre en programa de computadora.

Las etiquetas (variables) son los nombres para la identificacin de las entradas o salidas, esto se observa en la figura 5.Fig. 5. Diagrama de representacin de variables en programa de computadora.

Los puertos de entrada/salida definen un puerto de entrada, salida o bidireccional, esto se simboliza en la figura 6.

Fig. 6. Diagrama de puertos de entrada y salida.

.

Desarrollo Experimental:Materiales: Un eliminador de bateras con la siguientes caractersticas:Voltaje de entrada 110-220 V de corriente alternaFrecuencia 50-60 HzIntensidad de corriente mayor de 500 mA 6 diodos emisores de luz 6 resistencias de 330 de W 4 Push buttons Circuito integrado GAL16V8

Procedimiento:Se realizara un circuito por medio de 2 funciones Booleanas las cuales son las siguientes:

Estas funciones se realizaron en un dispositivo programable GAL usando la captura esquemtica y compilador ispLEVER Project.Los pasos para obtener el circuito integrado a la medida por medio de captura esquemtica se muestran a continuacin:A. Inicio1A. Abra el programa Isp System Starter (siga los pasos sealados en la figura 7).

Fig. 7. Imagen de pasos para abrir programa.

O bien, d doble clic con el apuntador del mouse en el icono que se muestra en el escritorio de la pantalla de Windows.

2A. Cree un nuevo proyecto (File, New Project) (ver figura 8).Fig. 8. Imagen de pasos para crear nuevo proyecto.

3A. D nombre del proyecto (Project name) como se muestra en la figura 9.

Fig. 9. Imagen de ventana para crear nuevo proyecto.

4A. Seleccione el dispositivo GAL16V8ZD. (Los pasos se muestran en la figura 10.)

Fig. 10. Imagen de ventana para seleccionar dispositivo.

5A. Seleccione el nuevo archivo fuente. (Los pasos se indican en la figura 11 y 12).

Fig. 12. Imagen de ventana para crear archivo de captura esquemtica.Fig. 11. Imagen de ventana para crear nuevo archivo.

B. Captura esquemtica1B. Nombre del archivo como se presenta en la figura 13. El nombre que se asigne para identificar este archivo no debe exceder de ocho caracteres. Se recomienda emplear el mismo nombre del proyecto, ya que la extensin que identifica al archivo de captura esquemtica es sch.Fig. 13. Imagen de ventana para dar nombre a archivo.

2B. Seleccione los componentes en la Caja de herramientas dentro de la Biblioteca de smbolos GATES.LIB y colquelos en la hoja de trabajo. (Siga los pasos que se muestran en la figura 14).Fig. 14. Imagen de pasos para colocar componentes.

3B. Conectores. Tanto las entradas y salidas debern de llevar un conector, de lo contrario el programa lo tomar como entrada o salida invalidada.Para obtener un conector seleccione el icono sealado en la figura 15 de la caja de herramientas Drawing.Fig. 15. Imagen de comando Drawing.

Para trazar un conector en lnea recta desde un punto hacia la terminal de un componente, haga un clic del mouse para iniciar (1) y otro para terminar el conector (2) como se indica en la figura 16.

Fig. 16. Imagen de ejemplo de conexin.

Para trazar un conector en lnea recta desde la terminal hacia un punto d un clic del mouse para iniciar (1) sobre el extremo del terminal y doble clic para terminar el conector (2) como se representa en la figura 17.

Fig. 17. Imagen de ejemplo de conexin.

Para trazar un conector desde la terminal de salida de un componente hacia una entrada de otro componente, coloque el puntero del mouse en cualquiera de las dos terminales a conectar, d un clic para iniciar el trazo, desplace el puntero del mouse sobre la otra terminal y d doble clic. Los componentes quedarn interconectados, como se muestra en la figura 18.

Fig. 18. Imagen de ejemplo de conexin.

4B. Etiquetas (variables). Para obtener las etiquetas, en la caja de herramientas seleccione Drawing y el icono con abc (ver figura 19). En la parte inferior de la pantalla aparecern Net Name - Enter Net Name = (ver figura 20)Teclee el nombre de la variable y posteriormente oprima la tecla Enter. Con el cursor posicione la variable al final del conector deseado y de nuevo un Enter, como se muestra en la figura 21.

Fig. 20. Imagen de parte inferior de pantalla.Fig. 19. Imagen de comando.

Fig. 21. Imagen de cmo colocar variable.

6B. Puertos de entrada o salida. Seleccione de la caja de herramientas Drawing el icono mostrado en la figura 22. Aparecer un men de opciones titulado I/O M. Aqu debe elegir el tipo de puerto a usarse (None, Input, Output y Bidirection) como en la figura 23.

Fig. 23. Imagen de cmo colocar puertos de entrada o salida.

Fig. 22. Imagen de comando.

El circuito terminado quedar de la como en la figura 24:Fig. 24. Imagen de esquematizacin terminada.

7B. Una vez terminada la captura esquemtica, guarde el archivo utilizando el icono del disco que se muestra en la figura 25.

Fig. 25. Imagen de ventana de captura esquemtica.

C. Enlazar (Link)Regrese a la ventana de Isp System Starter y en el recuadro izquierdo (Sources in Project) asegure la presencia del dispositivo definido (GAL16V8/ZD); en el mismo recuadro asegure la presencia del archivo con extensin .sch. Como lo indica la figura 26, es posible iniciar el proceso de compilacin ejecutando las rutinas que aparecen en el recuadro derecho (Processes for Current Source).Fig. 26. Imagen de ventana de ispLever project.

1C. Update All Schematic Files (actualizar todos los archivos de captura esquemtica).En esta parte del proceso actualice los archivos que se tomarn en cuenta para la compilacin.2C. Link Design (enlazar el diseo).Verifique si el o los archivos contienen un cdigo vlido. En caso de que no se acepte aparecer un mensaje que incluye una explicacin y un cdigo de error.3C. Fit Design (tamao del diseo).En algunas ocasiones, los requerimientos del diseo sobrepasan la capacidad del dispositivo seleccionado. Esta rutina verifica si el diseo cabe en el dispositivo. En caso de que sea demasiado grande, se sugiere elegir un dispositivo de mayor capacidad comoGAL20V8 o GAL 22V10, etctera.4C. Create Fuse Map (obtener el archivo del mapa de fusibles).En este proceso se obtienen dos archivos:El archivo reporte con extensin .rep contiene la informacin de las ecuaciones, la distribucin de terminales pin out, el porcentaje de utilizacin del dispositivo, etctera.El archivo JEDEC con extensin .jed tiene el mapa de fusibles, el cual ser utilizado para programar el dispositivo.Para efectuar todos los pasos de este proceso, d doble clic con el apuntador del mouse sobre los iconos que estn en la ventana de Processes for Current Source. Al realizar la operacin correctamente aparecer una seal de aprobacin en cada uno de ellos, como lo muestra la siguiente figura 27.

Fig. 27. Imagen de ventana de ispLever project.

Archivo Reporte 4Este archivo se genera como resultado de la compilacin.Ecuaciones:F1 = !( !Y & X & !W # !Y & W & Z # X & !W & Z)F2 = !( !Y & X # !X & W) Chip Diagram:

D. Programar el dispositivo.1D. Ejecutar el programa del programador.2D. Seleccionar del dispositivo en el men Select.3D. Cargar del archivo JEDEC (F3).4D. Colocar el dispositivo en el socket.5D. Programar (F5).6D. Borrar el dispositivo (Erase).7D. Programar el dispositivo (Program).Ahora implementara el circuito en la tablilla de conexiones siguiendo el diagrama obtenido en el archivo. Reporte como lo indica la siguiente figura 28.

Fig. 28. Diagrama de circuito eltrico.

Discusin de resultados:

Se realizaron pruebas con la siguiente metodologa: Teniendo un valor de salida F1 y F2, presionando los botones X, Y, Z y W. Se consider que el valor de salida F1 = 1, correspondo al LED encendido. El valor de salida F1 = 0, corresponde al LED apagado. Del mismo modo se efectu para F2.

Teniendo en cuenta que para los botones X, Y, Z y W. El valor 0 corresponde al botn sin oprimir. El valor 1 corresponde al botn oprimido.

Los resultados fueron capturados en la tabla 1:

TABLA DE VERDAD

Entrada XEntrada YEntrada ZEntrada WSalida F1Salida F2

000011

000101

001011

001100

010011

010101

011011

011101

100000

100101

101000

101100

110011

110111

111010

111111

Tabla 1. Tabla de verdad resultados del circuito.

Resultados generales

Fotografa del circuito concluido: 1 circuito utilizando un circuito integrado GAL16V8. Fig. 20. Resultados finales de conexin del circuito.

Conclusiones: Se demostr el resultado aplicando la captura esquemtica de componentes AND y OR en el programa ispLEVER Project por medio de un dispositivo lgico programable (GAL16V8), en cual se implemento en una tablilla de conexiones manifestando salidas ALTAS y BAJAS utilizando LEDs, se aprendi el concepto o significado de dispositivos lgicos programables, as como su estructura y la programacin de captura esquemtica, se comprob la hiptesis obtenida y la tabla de verdad de esta practica.Bibliografa:Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL.Sistemas digitales, Ronald J. Tocci 8va edicinM.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mx

Objetivo:Conocer el procedimiento, aplicacin y reglas que se utilizan en un mapa de Karnaugh, as como se implementacin fsica por medio de componentes electrnicos para la realizacin de un circuito combinacional.

Hiptesis:Se obtendrn los minitrminos de una tabla de verdad para realizar un circuito combinacional por medio de un mapa de Karnaugh, el cual ser implementado en un dispositivo lgico programable (PLD), utilizando un programa de aplicacin de captura esquemtica.

Marco Terico:

Mapas de KarnaughEl mapa de Karnaugh es un mtodo grafico para la representacin y minimizacin de funciones booleanas. Se usa para simplificar funciones de 2, 3 y 4 variables, pero puede extenderse satisfactoriamente a funciones de 5 y 6 variables.Su operacin se basa en la combinacin de minitrminos los cuales difieren en solo una variable, como AB + AB = A(B + B) = AUn mapa para una funcin de N variables consiste de 2n cuadros. Donde cada cuadro representa a un minitrminos, ademas entre los minitrminos de cuadros adyacentes debe haber un solo cambio en una de sus variables.Un mapa para una funcin de 2 variables tiene 22 = 4 cuadros, para 3 variables 23 = 8 cuadros, para 4 variables 24 = 16 cuadros, y as sucesivamente. El mapa de karnaugh para una funcin de dos variables se muestra en la figura 1.Fig. 1. Mapa de Karnaugh para una funcin de dos variables.

La funcin se encuentra graficada en una cuadricula donde las coordenadas son A y B. En el eje horizontal la mitad derecha del mapa corresponde a la variable afirmada A y la izquierda a su complemento A. Lo mismo sucede con la variable b graficada en el eje vertical. Generalmente se acostumbra marcar la zona para cada variable con su etiqueta correspondiente figura 1. Si se desea graficar la expresin AB en el mapa se indica escribiendo un 1 en el cuadro donde las variables A y B son comunes, como se muestra en la figura 2, en general cada cuadro impreso representa un termino formado por el producto de las variables comunes al cuadro.

Fig. 2. Grafica en un mapa para la expresin AB.

Para simplificar el acomodo de las etiquetas correspondientes a cada zona se indican las variables alfabticas en la parte superior izquierda del mapa, para el caso de una funcin de dos variable, A se grafica en el eje horizontal y B en el eje vertical. Por ultimo las zonas se marcan con un numero 0 o 1 como se representa en la figura 3.

Fig. 3. Figura para indicar zona de variables sustituidas.

Un mapa para una funcin de tres variables como en la figura 4 se puede observar que existe nicamente una variable modificada entre dos cuadros adyacentes.

Fig. 4. Mapa para una funcin de 3 variables.

En la figura 5 aparece el mapa de Karnaugh con la distribucin acostumbrada. En el eje horizontal se grafican simultneamente las variables A y B por este motivo la etiqueta que aparece en la parte superior de cada columna es de dos dgitos y dan las combinaciones 00, 01, 11, y 10.

Fig. 5. Mapa con variables sustituidas.

Para graficar una expresin de cuatro variables tenemos que utilizar un mapa de 24 = 16 cuadros. En el eje horizontal se colocan las variables A y B, y en el eje vertical las variables C y D. En la figura 6 se representa un mapa de karnaugh para esta funcin, indicando la zona correspondiente a cada variable y sus etiquetas numricas.

Fig. 6. Mapa de Karnaugh para una funcin de 4 variables.

Reduccion de expresiones booleanas usando el mapa de KarnaughLa utilidad del mapa de Karnaugh se basa en que el acomodo de las reas para cada variable, permite minimizar una expresin lgica por simple inspeccin. En la figura 7 se muestra una tabla de verdad para una funcin de 2 variables y el acomodo para cada minitrminos de la funcin en el mapa.

Fig. 7. Tabla de verdad para una funcin de de 2 variables y mapa representativo.

A cada cuadro se le asigna un nmero en decimal que corresponde al nombre de cada minitrminos. Generalmente se escribe estos nmeros en la parte superior derecha del cuadro para facilitar la transferencia de los datos de la tabla, ver fig. 8.

Fig. 8. Acomodo de los minitrminos de un Mapa de Karnaugh de 4 variables.

Para transferir el contenido de la tabla al mapa de karnaugh se colocan en su cuadro correspondiente los minitrminos para los cuales la funcin es verdadera. Con el propsito de facilitar la transferencia, estos minitrminos se sustituyen por 1s unos. Los cuadros restantes pueden llenarse con ceros e indican los minitrminos que no aparecen en la funcin. Los ceros pueden omitirse si se desea como se observa en la figura 9.

Fig. 9. Figura de transferencia de contenido de tabla de verdad a mapa.

Cuando aparecen 1s (unos) en cuadros adyacentes significa que existe entre ellos una variable redundante, es decir que al agruparlos se elimina una variable como se explica en la figura 10, usando las siguiente propiedad del algebra booleana: AB + A(B + B) = A.

Fig. 10. Figura de agrupamiento de 1s.

Del grupo formado se observa que la variable B es redundante ya que adquiere el valor de B y B a lo largo del grupo, mientras que A permanece constante.Por lo tanto: F(AB) = A tomando en cuenta el ejemplo de la figura 10.De este ejemplo se puede deducir que el nombre que toma un grupo es igual al de la variable o variables que no cambian.Un mismo valor (1s) puede agruparse una o varias veces con diferentes valores adyacentes, y as sintetizar el mtodo de duplicacin de un minitrmino ya existente como se muestra en la figura 11.

Fig. 11. Figura de agrupamiento de 1s.

Reglas para el uso del mapa de Karnaugh1. Formar el menor nmero de grupos.1. Formar cada grupo con la mayor cantidad de valores posibles.1. Todos los valores debern agruparse, tomando en cuenta que un solo minitrmino puede formar un grupo.1. El numero de valores agrupados en un lazo debe ser una cantidad potencia de 2 (2n), por ejemplo: 1, 2, 4, 8, 16, etc. 1. Un par de valores se consideran adyacentes entre si, cuando son contiguos en forma horizontal o vertical, pero no diagonalmente como demuestra en la figura 12.

Fig. 12. Figura de agrupamientos no permitidos.

Desarrollo Experimental:Materiales:1. Un eliminador de bateras con la siguientes caractersticas:Voltaje de entrada 110-220 V de corriente alternaFrecuencia 50-60 HzIntensidad de corriente mayor de 500 mA1. 13 diodos emisores de luz1. 13 resistencias de 330 de W1. 5 Push buttons1. Circuito integrado GAL16V8

Procedimiento:Se realizara un circuito de diseo combinacional es aquel que sus valores de salida depende nicamente de las combinaciones de entrada.El primer paso es trasladar el comportamiento del circuito en una tabla de verdad la cual tenemos a continuacin (tabla 1):

Tabla 1. Comportamiento del circuito.

Como siguiente se seleccionaran los valores de la primera funcin (F1) que son los indicados en la figura 13, y se pasaran los valores a un mapa de Karnaugh como el mostrado en la figura 14. Fig. 13. Imagen de seleccin de valores.Fig. 14. Imagen de mapa de Karnaugh.

Enseguida de realizara el procedimiento de agrupaciones y se obtendrn los minitrminos requeridos como en la figura 15, representado en la siguiente funcin: F1 = ABCDE + ABCDE + ABCDEFig. 15. Imagen de agrupacin de valores.

Una vez obtenido esta funcin se representara de forma esquemtica elaborando un diagrama esquemtico como se muestra en la figura 16.Fig. 16. Imagen de diagrama esquemtico de la funcin F1.

Esto se aplicara para todas las funciones de la tabla de verdad. Ya obtenidas las funciones Booleanas, se implementaran en un dispositivo programable GAL usando la captura esquemtica y compilando ispLEVER ProjectLos pasos para obtener el circuito integrado a la medida por medio de captura esquemtica se muestran a continuacin:A. Inicio1A. Abra el programa Isp System Starter (siga los pasos sealados en la figura 17).Fig. 17. Imagen de pasos para abrir programa.

O bien, d doble clic con el apuntador del mouse en el icono que se muestra en el escritorio de la pantalla de Windows.

2A. Cree un nuevo proyecto (File, New Project) (ver figura 18).Fig. 18. Imagen de pasos para crear nuevo proyecto.

3A. D nombre del proyecto (Project name) como se muestra en la figura 19.

Fig. 19. Imagen de ventana para crear nuevo proyecto.

4A. Seleccione el dispositivo GAL16V8ZD. (Los pasos se muestran en la figura 20.)

Fig. 20. Imagen de ventana para seleccionar dispositivo.

5A. Seleccione el nuevo archivo fuente. (Los pasos se indican en la figura 21 y 22).

Fig. 21. Imagen de ventana para crear nuevo archivo. Fig. 22. Imagen de ventana para crear archivo de captura esquemtica.

B. Captura esquemtica1B. Nombre del archivo como se presenta en la figura 23. El nombre que se asigne para identificar este archivo no debe exceder de ocho caracteres. Se recomienda emplear el mismo nombre del proyecto, ya que la extensin que identifica al archivo de captura esquemtica es sch.

Fig. 23. Imagen de ventana para dar nombre a archivo.

2B. Seleccione los componentes en la Caja de herramientas dentro de la Biblioteca de smbolos GATES.LIB y colquelos en la hoja de trabajo. Tanto las entradas y salidas debern de llevar un conector, de lo contrario el programa lo tomar como entrada o salida invalidada.Para trazar un conector desde la terminal de salida de un componente hacia una entrada de otro componente, coloque el puntero del mouse en cualquiera de las dos terminales a conectar, d un clic para iniciar el trazo, desplace el puntero del mouse sobre la otra terminal y d doble clic. Los componentes quedarn interconectados, como se muestra en la figura 24.

Fig. 24. Imagen de ejemplo de conexin.

3B. Etiquetas (variables). Para obtener las etiquetas, en la parte inferior de la pantalla aparecern Net Name - Enter Net Name = (ver figura 25)Teclee el nombre de la variable y posteriormente oprima la tecla Enter. Con el cursor posicione la variable al final del conector deseado y de nuevo un Enter, como se muestra en la figura 26.Fig. 25. Imagen de parte inferior de pantalla.

Fig. 26. Imagen de cmo colocar variable.

4B. Puertos de entrada o salida. Seleccione de la caja de herramientas Drawing el icono mostrado en la figura 27. Aparecer un men de opciones titulado I/O M. Aqu debe elegir el tipo de puerto a usarse (None, Input, Output y Bidirection) como en la figura 28.

Fig. 28. Imagen de cmo colocar puertos de entrada o salida.

Fig. 27. Imagen de comando.

El circuito terminado quedar de la como en la figura 29:

Fig. 29. Imagen de esquematizacin terminada.

5B. Una vez terminada la captura esquemtica, guarde el archivo utilizando el icono del disco.

C. Enlazar (Link)Regrese a la ventana de Isp System Starter y en el recuadro izquierdo (Sources in Project) asegure la presencia del dispositivo definido (GAL16V8/ZD); en el mismo recuadro asegure la presencia del archivo con extensin .sch. Como lo indica la figura 30, es posible iniciar el proceso de compilacin ejecutando las rutinas que aparecen en el recuadro derecho (Processes for Current Source).Fig. 30. Imagen de ventana de ispLever project.

1C. Update All Schematic Files (actualizar todos los archivos de captura esquemtica).En esta parte del proceso actualice los archivos que se tomarn en cuenta para la compilacin.2C. Link Design (enlazar el diseo).Verifique si el o los archivos contienen un cdigo vlido. En caso de que no se acepte aparecer un mensaje que incluye una explicacin y un cdigo de error.3C. Fit Design (tamao del diseo).En algunas ocasiones, los requerimientos del diseo sobrepasan la capacidad del dispositivo seleccionado. Esta rutina verifica si el diseo cabe en el dispositivo. En caso de que sea demasiado grande, se sugiere elegir un dispositivo de mayor capacidad comoGAL20V8 o GAL 22V10, etctera.4C. Create Fuse Map (obtener el archivo del mapa de fusibles).En este proceso se obtienen dos archivos:El archivo reporte con extensin .rep contiene la informacin de las ecuaciones, la distribucin de terminales pin out, el porcentaje de utilizacin del dispositivo, etctera.El archivo JEDEC con extensin .jed tiene el mapa de fusibles, el cual ser utilizado para programar el dispositivo.Para efectuar todos los pasos de este proceso, d doble clic con el apuntador del mouse sobre los iconos que estn en la ventana de Processes for Current Source. Al realizar la operacin correctamente aparecer una seal de aprobacin en cada uno de ellos, como lo muestra la siguiente figura 31.

Fig. 31. Imagen de ventana de ispLever project.

Archivo Reporte 5Este archivo se genera como resultado de la compilacin.Ecuaciones:F1 = ( !E & B & !D & A & C # !E & B & D & !A & C # !E & !B & !D & !A & !C )F2 = ( E & B & !A & C # E & B & D & A & !C # E & !B & !D & !A & !C )F3 = ( !E & B & !D & !A & C # !E & B & D & A & !C # !E & !B & !D & A & !C # !E & !B & D & !A & !C )F4 = ( E & !D & A & !C # E & D & !A & !C )F5 = ( !E & !B & !D & !A & C # !E & !B & D & A & !C # !E & B & !D & A & !C # !E & B & D & !A & !C )F6 = ( E & !B & D & A # E & !B & !D & !A & C # E & B & !D & !A & !C )F7 = ( !E & !B & D & C # !E & !B & A & C # !E & B & !D & !A & !C )F8 = ( E & !B & !D & A & C # E & !B & D & !A & C )

Chip Diagram:

D. Programar el dispositivo.1D. Ejecutar el programa del programador.2D. Seleccionar del dispositivo en el men Select.3D. Cargar del archivo JEDEC (F3).4D. Colocar el dispositivo en el socket.5D. Programar (F5).6D. Borrar el dispositivo (Erase).7D. Programar el dispositivo (Program).Ahora implementara el circuito en la tablilla de conexiones siguiendo el diagrama obtenido en el archivo. Reporte como lo indica la siguiente figura 32.

Fig. 32. Diagrama de circuito eltrico.

Discusin de resultados:Se realizaron pruebas con la siguiente metodologa: 1. Teniendo un valor de salida F1 a F8, presionando los botones A, B, C, D y E. 1. Se consider que el valor de salida F1 = 1, correspondo al LED encendido. 1. El valor de salida F1 = 0, corresponde al LED apagado.1. Del mismo modo se efectu para las dems salidas.

Teniendo en cuenta que para los botones A, B, C, D y E.1. El valor 0 corresponde al botn sin oprimir.1. El valor 1 corresponde al botn oprimido.Los resultados fueron capturados en la tabla 2:

Tabla 2. Tabla de verdad resultados del circuito.

Resultados generales

Fotografa del circuito concluido: un circuito utilizando 5 estradas hacia un dispositivo programable GAL teniendo como resultado 8 salidas representado en la figura 33. Fig. 33. Resultados finales de conexin del circuito.

Conclusiones: Se demostr el resultado aplicando la captura esquemtica de los minitrminos obtenidos mediante un mapa de Karnaugh, capturado en el programa ispLEVER Project por medio de un dispositivo lgico programable (GAL16V8), en cual se implemento de manera fsica en una tablilla de conexiones manifestando salidas ALTAS y BAJAS utilizando LEDs, se aprendi el procedimiento y la aplicacin de un mapa Karnaugh en un dispositivos lgicos programables, llegando as a comprobarse la hiptesis obtenida y la tabla de verdad de esta practica.Bibliografa:Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL.Sistemas digitales, Ronald J. Tocci 8va edicin

Objetivo:Por medio de un sistema de adquisicin de datos analgicos (cero a cinco Volts) mostrar los valores recibidos en un Display (dispositivo para mostrar resultados) de 7 segmentos los valores correspondientes del valor analgico de entrada.Hiptesis:Se obtendrn una seal analgica por medio de un sensor, en este caso un potencimetro, y se convertir en seal digital que se representara por medio de un display conectado a un dispositivo lgico programable que estar diseado para que la seal digital se demuestre en forma de dgitos.

Marco Terico:Conversin de seal analgica a digitalLa conversin analgica-digital (CAD) o digitalizacin consiste en la transcripcin de seales analgicas en seales digitales, con el propsito de facilitar su procesamiento (codificacin, compresin, etc.) y hacer la seal resultante (la digital) ms inmune al ruido y otras interferencias a las que son ms sensibles las seales analgicas.El conversor ADC (Analog-to-Digital Converter - Conversor Analgico Digital) tiene que efectuar los siguientes procesos:1. Muestreo de la seal analgica.1. Cuantificacin de la propia seal1. Codificacin del resultado de la cuantificacin, en cdigo binario.Esto representado en la siguiente figura 1.

Fig. 1. Procesos de la conversin A/D.

Para realizar el muestreo (sampling) de una seal elctrica analgica y convertirla despus en digital, el primer paso consiste en tomar valores discretos de tensin o voltaje a intervalos regulares en diferentes puntos de la onda senoidal, la siguiente figura 2 demuestra el comportamiento de la onda.

Fig. 2. Grafica en una onda senoidal de 0 a 7v.

Una vez realizado el muestreo, el siguiente paso es la cuantificacin (quantization) de la seal analgica. La cuantificacin representa el componente de muestreo de las variaciones de valores de tensiones o voltajes tomados en diferentes puntos de la onda sinusoidal, que permite medirlos y asignarles sus correspondientes valores en el sistema numrico decimal, antes de convertir esos valores en sistema numrico binario, en la figura 3 se puede observar la cuantificacin de la onda.

Fig. 3. Grafica de la onda cuantificada.

Despus de realizada la cuantificacin, los valores de las tomas de voltajes se representan numricamente por medio de cdigos y estndares previamente establecidos. Lo ms comn es codificar la seal digital en cdigo numrico binario.

Fig. 4. Grafica de seal digital.

Una seal analgica es aquella cuya amplitud (tpicamente tensin de una seal que proviene de un transductor y amplificador) puede tomar en principio cualquier valor, esto es, su nivel en cualquier muestra no est limitado a un conjunto finito de niveles predefinidos como es el caso de las seales cuantificadas.Esto no quiere decir que se traten de seales de infinita precisin (un error muy extendido): las seales analgicas reales tienen un ruido que se traduce en un intervalo de incertidumbre. Esto quiere decir que obtenida una muestra de una seal analgica en un instante determinado, es imposible determinar cul es el valor exacto de la muestra dentro de un intervalo de incertidumbre que introduce el ruido. Y no existe (ni puede existir) ningn soporte analgico sin un nivel mnimo de ruido, es decir, de infinita precisin. A continuacin en la figura 5 mostraremos la variacin de una seal analgica.

Fig. 5. Representacin grfica de seal analgica.

En cambio, una seal digital es aquella cuyas dimensiones (tiempo y amplitud) no son continuas sino discretas, lo que significa que la seal necesariamente ha de tomar unos determinados valores fijos predeterminados en momentos tambin discretos. Como se muestra en la figura 6.

Fig. 6. Representacin grfica de seal digital.

Ventajas de la seal digital1. Cuando una seal digital es atenuada o experimenta perturbaciones leves, puede ser reconstruida y amplificada mediante sistemas de regeneracin de seales.1. Cuenta con sistemas de deteccin y correccin de errores, que se utilizan cuando la seal llega al receptor; entonces comprueban (uso de redundancia) la seal, primero para detectar algn error, y, algunos sistemas, pueden luego corregir alguno o todos los errores detectados previamente.1. Facilidad para el procesamiento de la seal. Cualquier operacin es fcilmente realizable a travs de cualquier software de edicin o procesamiento de seal.1. La seal digital permite la multigeneracin infinita sin prdidas de calidad.1. Es posible aplicar tcnicas de compresin de datos sin prdidas o tcnicas de compresin con prdidas basados en la codificacin perceptual mucho ms eficientes que con seales analgicas.

Desarrollo Experimental:Materiales:1. Un eliminador de bateras con la siguientes caractersticas:Voltaje de entrada 110-220 V de corriente alternaFrecuencia 50-60 HzIntensidad de corriente mayor de 500 mA1. 1 resistencia de 10 k de W1. 7 resistencias de 330 de W1. 1 Push buttons1. Circuito integrado GAL16V81. 1 Capacitor electroltico de 10 F1. 1 capacitor cermico de 150 pf1. 1 ADC 0804 (convertidor analgico digital)1. 1 Display de 7 segmentos1. 1 Potencimetro de 10 K

Procedimiento:Se realizara un circuito de convertidor de seal analgica a digital es aquel que sus valores de salida se representan con numeracin binaria es decir 1 y 0.El primer paso es trasladar el comportamiento del circuito en una tabla de verdad la cual tenemos a continuacin (tabla 1):

Tabla 1. Comportamiento del circuito.

Como siguiente se seleccionaran los valores de la primera funcin (fa) que son los indicados en la figura 7, y se pasaran los valores a un mapa de Karnaugh como el mostrado en la figura 8. Fig. 7. Imagen de seleccin de valores.Fig. 8. Imagen de mapa de Karnaugh.

Enseguida de realizara el procedimiento de agrupaciones y se obtendrn los minitrminos requeridos como en la figura 9, representado en la siguiente funcin: Fa = ACD + AB + ABCD + ABCD + ABCDFig. 9. Imagen de agrupacin de valores.

Esto se aplicara para todas las funciones de la tabla de verdad.

Ya obtenidas las funciones Booleanas, se implementaran en un dispositivo programable GAL usando la captura esquemtica y compilando ispLEVER ProjectLos pasos para obtener el circuito integrado a la medida por medio de captura esquemtica se muestran a continuacin:A. Inicio1A. Abra el programa Isp System Starter (siga los pasos sealados en la figura 10).Fig. 10. Imagen de pasos para abrir programa.

O bien, d doble clic con el apuntador del mouse en el icono que se muestra en el escritorio de la pantalla de Windows.2A. Cree un nuevo proyecto (File, New Project) (ver figura 11).

Fig. 11. Imagen de pasos para crear nuevo proyecto.

3A. D nombre del proyecto (Project name) como se muestra en la figura 12.

Fig. 12. Imagen de ventana para crear nuevo proyecto.

4A. Seleccione el dispositivo GAL16V8ZD. (Los pasos se muestran en la figura 13.)

Fig. 13. Imagen de ventana para seleccionar dispositivo.

5A. Seleccione el nuevo archivo fuente. (Los pasos se indican en la figura 14 y 15).

Fig. 14. Imagen de ventana para crear nuevo archivo. Fig. 15. Imagen de ventana para crear archivo de captura ABEL - HDL.

B. Construccin del archivo en ABEL-HDL1B. Nombre del archivo como se presenta en la figura 16. El nombre que se asigne para identificar este archivo no debe exceder de ocho caracteres.

Fig. 16. Imagen de ventana para dar nombre a archivo.

2B. Capture el archivo ABEL-HDL para las funciones A, B, C, y D en el editor de textos de ABEL y asigne las terminales 1, 2, 3, y 4 a las funciones, respectivamente. Para las salidas Fa, Fb, Fc, Fd, Fe, Ff, y Fg asigne las terminales 19, 18, 17, 16, 15, 14 y 13.Guarde el archivo completo una vez que cumpla con la estructura como en la figura 17 y compile el archivo.

Fig. 17. Imagen de Archivo ABEL-HDL incluyendo TEST_VECTORS.

3B. Obtenga los archivos Reporte como se muestra en la figura 18.Fig. 18. Imagen de Archivo chip report.

C. Enlazar (Link)1C. Link Design (enlazar el diseo).Verifique si el o los archivos contienen un cdigo vlido. En caso de que no se acepte aparecer un mensaje que incluye una explicacin y un cdigo de error.2C. Create Fuse Map (obtener el archivo del mapa de fusibles).En este proceso se obtienen dos archivos:El archivo reporte con extensin .rep contiene la informacin de las ecuaciones, la distribucin de terminales pin out, el porcentaje de utilizacin del dispositivo, etctera.El archivo JEDEC con extensin .jed tiene el mapa de fusibles, el cual ser utilizado para programar el dispositivo.Para efectuar todos los pasos de este proceso, d doble clic con el apuntador del mouse sobre los iconos que estn en la ventana de Processes for Current Source. Al realizar la operacin correctamente aparecer una seal de aprobacin en cada uno de ellos, como lo muestra la siguiente figura 19.

Fig. 19. Imagen de ventana de ispLever project.

Archivo Reporte 6Este archivo se genera como resultado de la compilacin.

Ecuaciones:Fa = (A&!B) # (A&!C&!D) # (!A&B&!C&D) # (!A&!B&C&D) # (!A&B&C&!D)Fb = (B&!C) # (B&C) # (A&C) # (C&D)Fc = (A&!C) # (A&C) # (B&C) # (C&D) # (!A&B&!D)Fd = (!A&!B) # (!A&!C&D) # (!A&C&!D) # (A&!C&!D) # (A&!B&!C) # (!B&C&D)Fe = (!A&!B) # (!C&D) # (A&D) # (A&B&C)Ff = (!A&!B) # (C&D) # (A&!C) # (A&B)Fg = (A&!C) # (A&D) # (B&D) # (B&C)

Chip Diagram:

D. Programar el dispositivo.1D. Ejecutar el programa del programador.2D. Seleccionar del dispositivo en el men Select.3D. Cargar del archivo JEDEC (F3).4D. Colocar el dispositivo en el socket.5D. Programar (F5).6D. Borrar el dispositivo (Erase).7D. Programar el dispositivo (Program).A continuacin se conectaran los componentes en la tabla de conexiones, para conectar el convertidor ADC 0804 se cuenta con un diagrama de apoyo (ver figura 20).

Fig. 20. Diagrama de ADC0804.

Como primer paso se colocaran los componentes en la tabla de conexiones, como se muestra en la figura 21, despus se conectara el convertidor ADC0804 como la indica la figura anterior (figura 20).

Fig. 21. Imagen de componentes en tabla de conexiones.

En las terminales o lneas de entrada 6 se conectara un alambre hacia la lnea donde esta conectara la terminal 2 del potencimetro y la terminal 7 del ADC 0804 se conectara a la lnea de GND (tierra o negativo).Las terminales de la 11 a la 14 del ADC 0804 irn conectadas a las terminales 1 a la 4 para representar el sistema combinacional por medio de impulsos o seal digital, esto de la siguiente forma:

1. Terminal 11 a terminal 1 de GAL.1. Terminal 12 a terminal 2 de GAL.1. Terminal 13 a terminal 3 de GAL.1. Terminal 14 a terminal 4 de GAL.

El GAL por medio de la programacin debern mostrarse los siguientes rangos de valores en el display:

a) Seal baja por medio de una letra que lo identifique (ejemplo L low o B baja).b) Seal normal con los nmeros del 0 al 9.c) Seal alta por medio de una letra que lo identifique (ejemplo H High o A alta).

Para esto debemos conectar las terminales de salida 19 a 13 del GAL hacia una resistencia de 330 y despus al display (una resistencia por cada salida) como se indica en la figura 22.

Fig. 22. Imagen de conexiones de GAL a Display.

Para que el circuito funcione correctamente, el potencimetro deber de ir conectado con su primera terminal a VCC y la 3 terminal a GND o viceversa, recordando que el potencimetro es una resistencia y no tiene polaridad.Concluyendo estos pasos se deber tener un circuito conectado de la siguiente forma (ver fig. 23).

Fig. 23. Diagrama del circuito terminado.

En caso de que el circuito no funcione revisar nuevamente el diagrama del adc0804 y asegurar que este correctamente conectado (ver fig. 20), si el display arroja valores distintos a los establecidos revisar programacin y tabla de verdad 1.

Discusin de resultados:Se realizaron pruebas con la siguiente metodologa: a) Seal baja por medio de una letra que lo identifique (L low).b) Seal normal con los nmeros del 0 al 9.c) Seal alta por medio de una letra que lo identifique (H High).Estas letras y nmeros son representados en el display dependiendo de la variacin de voltaje del potencimetro.Los resultados fueron capturados en la tabla 2:

Tabla 2. Tabla de verdad resultados del circuito.

Resultados generales

Fotografa del circuito concluido: circuito convertidor de seal analgica a digital conectando un sensor de 0 a 5 v hacia un convertidor analgico digital ADC0804, obteniendo un sistema combinacional por medio de un PLD conectado hacia un Display de 7 segmentos, representado en la figura 24. Fig. 24. Resultados finales de conexin del circuito.

Conclusiones: Se demostr el resultado de la conversin de la seal analgica a digital por medio de un componente electrnica ADC0804, el cual reciba una seal analgica de un potencimetro y converta en seal digital hacia un PLD, que fue programado para realizar un sistema combinacional conectado a un display reflejando valores con relacin a la tabla de verdad ya obtenida, se comprob la hiptesis y se aprendi el funcionamiento de dicho convertidor de seal. Bibliografa:Fundamentos de diseo digital, Csar A. Leal Chapa, FIME, UANL.Sistemas digitales, Ronald J. Tocci 8va edicinM.C. Juan ngel Garza Garza http://jagarza.fime.uanl.mx

Objetivo:Por medio de circuitos generadores de pulsos el objetivo es conocer y demostrar el comportamiento de las ondas cuadrados que se utilizan en circuitos de seal digital.Hiptesis:Se obtendrn pulsos de seal cuadrada por medio de un LED que encender de manera intermitente conectado a distintos generadores de pulso, el comportamiento de estos circuito se representara de mejor manera si se cuenta con un osciloscopio.Marco Terico:Seal cuadradaSe conoce por onda cuadrada a la onda de corriente alterna (CA) que alterna su valor entre dos valores extremos sin pasar por los valores intermedios (al contrario de lo que sucede con la onda senoidal y la onda triangular, etc.)Se usa principalmente para la generacin pulsos elctricos que son usados como seales binarias (1 y 0) que permiten ser manipuladas fcilmente, un circuito electrnico que genera ondas cuadradas se conoce como generador de pulsos.La seal cuadrada es muy utilizada para realizar determinadas mediciones, e implementar controles en sistemas de conmutacin. Se caracteriza por tener solamente dos valores posibles. Se le puede definir amplitud, periodo, frecuencia y desfasaje, un ejemplo de esta seal se muestra en la figura 1.

Figura 1. Seal cuadrada.

El paso de un valor a otro se denomina flanco, ascendente o descendente segn corresponda. Si bien en teora el cambio debera ser instantneo.

Eliminador de rebotesCuando a un circuito se le implementa un conmutador con el propsito de enviarle una seal de entrada, sea esta de nivel bajo o alto ("0 V." o "Vcc V), a veces es conveniente colocarle lo que se llama un eliminador de rebote.La razn por la cual es muy difcil lograr que una seal de entrada sea perfecta, es que el conmutador es un elemento mecnico, que a la hora de cerrar produce rebotes como los que se ve en la figura 2 (en el caso de esta figura Vcc = 5V).

Figura 2. Grafica de una sea con rebotes.

Estos rebotes seran similares a los de una pelota que se deja caer y al final se detiene. En un conmutador este fenmeno no es evidente, pero si ocurre.

Multivibrador astableUn multivibrador astable es un circuito capaz de generar ondas a partir de una fuente de alimentacin continua. La frecuencia de estas ondas depender de la carga y descarga de los condensadores C1 y C2, que sern provocadas por la conmutacin de los transistores TR1 y TR2, como se muestra en la figura 3.

Figura 3. Diagrama de multivibrador astable.

Si dividimos el circuito por la mitad verticalmente, tendremos R1, R2, C1 y TR1 por un lado, y por otro lado tendremos R3, R4, C2 y TR2. En un instante de tiempo T=0, instante en el que aplicamos la tensin de alimentacin, los transistores iniciarn la conduccin ya que sus bases reciben un potencial positivo a travs de R2 y R3, pero uno comenzar la conduccin antes que el otro, por cuestiones de fabricacin y dopaje, no sern exactamente idnticos.T1 el que empieza a conducir primero, y como es un transistor NPN, al aplicar intensidad en su base, entrar en saturacin, es decir, su Voltaje colector-emisor ser prcticamente despreciable ( 0V), consiguiendo as una correcta polarizacin del condensador C1, que comenzar a cargarse a travs de la resistencia R2.La relacin entre C1 y R2, determinar el tiempo de carga del condensador, si aplicamos la formula del tiempo de carga de un condensador (Tau) = C R (Donde C es la capacidad de C1 en Faradios, y R es el valor de R2 en Ohmios), obtendremos el tiempo en segundos que tarda en cargar el condensador un 63,2% de la tensin de alimentacin.En el momento en que la carga de C1, supere la tensin de 0,7 V, pasamos al instante de tiempo T=1, donde C1 ser capaz de superar la barrera de potencial del transistor T2, haciendo que ste entre en saturacin y por consiguiente su Vc 0, permitiendo la carga del condensador C2 a travs de la resistencia R3 hasta superar la carga de 0,7 V, que ha