sist. multiplexado 2

18
2. Dispositivos utilizados: codificadores, multiplexores y transceptores, entre otros. En un sentido general, se puede decir que un codificador es un circuito hecho para pasar información de un sistema a otro con clave di fer ente, y en tal caso un decodificador sería el circuito o dispositivo que retorne los datos o información al primer sistema. Debido a que el caso que nos ocupa es el de la lógica digital, y en esp ecial la aritmética binaria, hemos de dar sentido más dir ecto a los tér minos "codificador" y "decodificador". Un codificador es un bloque combinacional hecho para convertir una entrada no binaria en una salida de estricto orden binario. En otras palabras, es un circuito integrado por un conjunto de componentes ele ctr ónicos con la habilidad para mostrar en sus terminales de salida un word binario (01101, 1100, etc.), equivalente al número presente en sus entradas, pero e scrito en un código diferente. Por ejemplo, un Octal- to- binary encoder es un circuito codificador con ocho entradas (un terminal para cada dígi to Octal, o de base 8) y tres salidas (un terminal para cada bit binario).Los codificadores pueden, también, propor cionar otr as operaciones de conversión, tal como ocurre en las calculadoras de bolsillo con el teclado: El Keyboard (teclas , llaves) encoder convier te la posición de cada tecla (No. 9, No. 3, No. 5, + , %, etc.) en su correspondiente word asignado previamente. Un ejemplo de lo anteri or es el tecla do codi ficador en ASCI I (American Standard Code for Infor mation Interchange), que genera el word de 7 bits 0100101 cuando es presionada la tecla del porcentaje(%). El dec odi ficador es un cir cui to combinacional diseñado par a convertir un número binario (entrada) en word de "unos" y "ceros" (niveles altos y bajos de voltaje) con un orden distinto, para ejecutar un trabajo especial. En otras palabras, el word que sale es diferente al word que entró, aunque tenga la misma cantidad de bits. En Electrónica Digital es a menudo necesario pasar un número binario a otro formato, tal como el requerido para energizar los siete segmentos de los display hechos con diodos emisores de luz, en el orden adecuado para que se ilumine la figura de un individual número decimal.Los decod ificadores son también usados en los micr oproce sador es para convertir instrucciones binarias en señales de tiempo, para controlar máquinas en procesos industriales o implementar circuitos lógicos avanzados. El decodificador convierte números binarios en sus equivalentes Octales (base 8), decimales (base 10) y Hexadecimales (base 16) 2.1. Codificadores

Transcript of sist. multiplexado 2

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 1/18

2. Dispositivos utilizados: codificadores, multiplexores y transceptores, entreotros.

En un sentido general, se puede decir que un codificador es un circuito hecho parapasar información de un sistema a otro con clave diferente, y en tal caso undecodificador sería el circuito o dispositivo que retorne los datos o información alprimer sistema. Debido a que el caso que nos ocupa es el de la lógica digital, y enespecial la aritmética binaria, hemos de dar sentido más directo a los términos"codificador" y "decodificador".

Un codificador es un bloque combinacional hecho para convertir una entrada no binariaen una salida de estricto orden binario. En otras palabras, es un circuito integrado porun conjunto de componentes electrónicos con la habilidad para mostrar en susterminales de salida un word binario (01101, 1100, etc.), equivalente al númeropresente en sus entradas, pero escrito en un código diferente. Por ejemplo, un Octal-to-binary encoder es un circuito codificador con ocho entradas (unterminal para cada dígito Octal, o de base 8) y tres salidas (un terminal para cada bitbinario).Los codificadores pueden, también, proporcionar otras operaciones deconversión, tal como ocurre en lascalculadoras de bolsillo con el teclado:

El Keyboard (teclas, llaves) encoder convierte la posición de cada tecla (No. 9, No. 3,No. 5, + , %, etc.) en su correspondiente word asignado previamente. Un ejemplo de loanterior es el teclado codificador en ASCII (American Standard Code for InformationInterchange), que genera el word de 7 bits 0100101 cuando es presionada la tecla delporcentaje(%).

El decodificador es un circuito combinacional diseñado para convertir un númerobinario (entrada) en word de "unos" y "ceros" (niveles altos y bajos de voltaje) con unorden distinto, para ejecutar un trabajo especial. En otras palabras, el word que sale esdiferente al word que entró, aunque tenga la misma cantidad de bits. En ElectrónicaDigital es a menudo necesario pasar un número binario a otro formato, tal como elrequerido para energizar los siete segmentos de los display hechos con diodos

emisores de luz, en el orden adecuado para que se ilumine la figura de un individualnúmero decimal.Los decodificadores son también usados en los microprocesadorespara convertir instrucciones binarias en señales de tiempo, para controlar máquinas enprocesos industriales o implementar circuitos lógicos avanzados. El decodificadorconvierte números binarios en sus equivalentes Octales (base 8), decimales (base 10)y Hexadecimales (base 16)

2.1. Codificadores

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 2/18

LOS CODIFICADORES Al diseñar un sistema digital es necesario representar o codificar en forma binaria lainformación numérica y alfanumérica que se obtiene de dicho sistema y, para ello,existen los circuitos combinatorios denominados codificadores. Un codificador es un circuito combinatorio que cuenta con un número determinado deentradas, de las cuales sólo una tiene el estado lógico 1, y se genera un código devarios bits que depende de cuál sea la entrada excitada. 

Diagrama de bloques de un codificador de 10 entradas y 4 salidas Para ilustrar esto mejor pongamos un ejemplo. Supongamos que queremos transmitirun código binario con cada una de las pulsaciones de un teclado númerico, comopuede ser el de una calculadora, en éste existen diez dígitos y al menos seis caracteresespeciales y, si consideramos sólo las diez cifras, esta condición la podemos satisfacercon cuatro bit. Pero variemos el circuito de la calculadora para entender mejor elejemplo. Modifiquemos el teclado de tal manera que al presionar una tecla se cierre el

pulsador que conectará una línea de entrada. En el interior del bloque podemos imaginar unos conductores cruzados que unenentradas y salidas entre sí. Veamos cómo han de conectarse a fin de que den loscódigos deseados. Para representar los códigos de salida utilizaremos el denominadocódigo BCD. La tabla de verdad que define este codificador es la siguiente: 

Entradas Salidas

1 2 3 4 5 6 7 8 9 0 Y4 Y3 Y2 Y1

0 0 0 0 0 0 0 0 0 1 0 0 0 0

0 0 0 0 0 0 0 0 1 0 0 0 0 1

0 0 0 0 0 0 0 1 0 0 0 0 1 0

0 0 0 0 0 0 1 0 0 0 0 0 1 1

0 0 0 0 0 1 0 0 0 0 0 1 0 0

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 3/18

0 0 0 0 1 0 0 0 0 0 0 1 0 1

0 0 0 1 0 0 0 0 0 0 0 1 1 0

0 0 1 0 0 0 0 0 0 0 0 1 1 1

0 1 0 0 0 0 0 0 0 0 1 0 0 0

1 0 0 0 0 0 0 0 0 0 1 0 0 1

 

Cuando alguna de las entradas está a 1, quiere decir que el pulsador correspondienteestá accionado. Como suponemos que no hay más que un pulsador activadosimultáneamente, entonces en cualquier línea de la tabla todas las entradas exceptouna serán 0. A partir de la tabla se deduce que la salida Y1 será 1 si lo es la entrada 9, ó la 7, ó la 5,ó la 3, ó la 1, de ahí que la ecuación booleana correspondiente a esta salida sea la

suma de las entradas 1, 3, 5, 7 y 9. Si seguimos analizando la tabla obtendremos, deforma análoga, las ecuaciones que tienen que cumplir las salidas Y2, Y3 e Y4.

Cada una de las ecuaciones que salen de la tabla de verdad se podrán componer conpuertas OR, construidas con diodos. En nuestro ejemplo, el bloque que antes habíamosdiseñado imaginariamente con una trama de conductores, ahora lo conectaremos através de diodos; y a este nuevo bloque lo denominaremos matriz de diodos. 

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 4/18

Los tipos de codificadores más usuales en el mercado son los de matrices dediodos

 

 Todos los diodos del codificador pueden ser sustituidos por otro correspondienteformado por la base y el emisor de un transistor. Si el colector se une a la tensión dealimentación, entonces resulta una puerta OR seguidor de emisor.

Por otro lado, en realidad sólo se requiere un transistor con emisor múltiple para cadaentrada del codificador. La base está unida a la línea de entrada, y cada emisor seconecta a una línea de salida diferente de acuerdo con la lógica del codificador. Porejemplo, la línea de entrada 7 está unida a tres diodos cuyos cátodos van a las salidas

1, 2 y 3; esta combinación puede ser sustituida por un transistor de tres emisores,conectado como se indica en la figura. El número máximo de emisores que se puedenecesitar es igual al número de bits del código de salida. 

Normalmente un codificador utiliza niveles de salida TTL. Si cada línea de salida delcodificador va a la entrada de datos de una formación tótem, tendremos una salida entótem. Si, por el contrario, conectamos dicha salida a la entrada de datos de una etapade colector abierto, estaremos ante una salida en colector abierto. Dentro de los codificadores podemos distinguir varios tipos, como el descritoanteriormente de células con diodos, y los denominados codificadores con prioridad. 

Codificadores con prioridad y señal de habilitación 

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 5/18

Circuito integrado típico de un codificador con prioridad Vamos a prescindir ahora de la condición supuesta anteriormente y referida a que encualquier momento sólo puede haber un pulsador accionado. Si, de un modo fortuito,se pulsan simultáneamente varias teclas, vamos a dar prioridad y codificar la línea de

datos de orden superior. Por ejemplo, si se excitan las entradas 5 y 6, lo que se deseaes que la salida sea la que corresponde a la entrada 6. Para seguir el mismoprocedimiento, a fin de entender este tipo de codificadores, describamos su tabla deverdad: 

Entradas Salidas

9 8 7 6 5 4 3 2 1 0 Y4 Y3 Y2 Y1

0 0 0 0 0 0 0 0 0 1 0 0 0 0

0 0 0 0 0 0 0 0 1 X 0 0 0 1

0 0 0 0 0 0 0 1 X X 0 0 1 0

0 0 0 0 0 0 1 X X X 0 0 1 1

0 0 0 0 0 1 X X X X 0 1 0 0

0 0 0 0 1 X X X X X 0 1 0 1

0 0 0 1 X X X X X X 0 1 1 0

0 1 X X X X X X X X 1 1 1 0

1 X X X X X X X X X 1 0 0 1 

Esta tabla corresponde a un codificador con prioridad de 10 a 4 líneas. La X indica queesa entrada puede tomar cualquier valor, es decir, puede ser 0 ó 1, por lo que elestado X es irrelevante. No obstante, ahora habrá que tener en cuenta un 0 en la tabla,mientras que en el caso anterior podíamos ignorarlo, ya que dicha tabla vienedeterminada únicamente por los 1 en su diagonal. Si analizáramos los distintos valoresque toman las salidas, e intentáramos expresar su ecuación booleana correspondiente,

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 6/18

veríamos que son ecuaciones bastantes complicadas. Para construir mediante puertas la tabla de verdad anteriormente citada, se utilizaránpuertas AND-OR de dos y cuatro entradas. Normalmente, este tipo de lógica se fabricaen un chip integrado a escala media, cuya prioridad codifica diez líneas - decimal acuatro líneas BCD. Entre las aplicaciones de este tipo de codificadores destacan la codificación depequeños teclados, la conversión analógica a digital y el control de perturbaciones enlos ordenadores. Finalmente, señalaremos que la mayor aplicación de los codificadores se da en elcampo de la construcción, a partir de ellos mismos, de los denominados multiplexores

2.2. Decodificadores

Un decodificador es un circuito combinacional que convierte información binaria den bits (entradas)en un máximo de 2n salidas únicas. Si la información codificada de n bits tiene

combinaciones no utilizadas, por ejemplo en un decodificador BCD, entonces eldecodificador puede tener menos de 2n salidas.

La figura 1 muestra el circuito esquematico basico de un decodificador de 2entradas y cuatro salidas. Adicionalmente, la figura 1 muestra en forma de undiagrama de tiempos o cronograma las salidas D0, D1, D2 y D3, en funcion de lasentradas S1 y S0.

 

 Tanto del circuito como del cronograma podemos deducir que cada una de lassalidas de las compuertas AND corresponde a cada uno de los minterms posibles parafunciones de dos variables, en este caso representadas por las entradas S1 y S0.

 Tenemos entonces que

Podemos ver que sumando los minterms correspondientes a una funcion de dosvariables, utilizando una compuerta OR, podemos implementar cualquier funcion,siempre que esta sea de dos variables.

No todos los decodificadores son tan simples como el que se muestra en la figura 1.

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 7/18

En general, estos tienen una entrada que permite la habilitacion de las salidas. Estaentrada recibe el nombre de enable que significa habilitar. Por convencion la entradade enable es casi siempre negada, es decir las salidas estan habilitadas siempre quela entrada enable sea 0. La figura 2 muestra un decodificador de dos a cuatro lineascon entrada de habilitacion E1. Notese que las salidas ahora provienen de compuertasNAND, por lo que estas estan complementadas, es decir, son cero cuando lacombinacion de entrada les corresponde. Esto se aprecia claramente en el diagrama detiempos de la figura 2.

Figura 2: Diagrama esquematico y cronograma correspondiente a un decodificador dedos a cuatrolineas con entrada de habilitacion y salidas complementadas.La figura 7 presenta el diagrama esquematico y el cronograma correspondiente a undecodificadorde 3 lineas a 8 lineas sin entrada de habilitacion de salidas. Al igual que antes, cadasalidarepresenta un minterm, en este caso, cada uno de los minterms posibles parafunciones de tres

variables, esto es

Figura 3: Diagrama esquemático y cronograma correspondiente a un decodificador detres a ocho líneas con entrada de habilitacíón y salidas complementadas.

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 8/18

Figura 4: Implementación de un decodificador de 3 a 8 líneas utilizandodos decodificadores de 2 a 4 líneas con entrada de habilitación.

Figura 5: Implementación de un Full Adder utilizando un decodificador de 3 a 8 líneas.

Figura 6: Diagrama esquemático y cronograma correspondiente a un multiplexor dedos líneas de selección.

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 9/18

Figura 7: Implementación de la función utilizando un multiplexor de 3 líneas deselección (implementación tipo I).

Figura 8: Implementación de la función:

utilizando un multiplexor de 2 líneas de selección (implementación tipo II)

2.3. Multiplexores.

LOS MULTIPLEXORES Vamos a estudiar, en éste capítulo, una serie de circuitos combinatorios relacionadoscon la transferencia de información; es decir, analizaremos la situación de tener variasseñales binarias a una red digital. 

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 10/18

 Mediante una señal de control deseamos seleccionar una de las entradas y que éstaaparezca a la salida. Haciendo una analogía eléctrica, podemos comparar unmultiplexor con un conmutador de varias posiciones, de manera que, situando elselector en una de las posibles entradas, ésta aparecerá en la salida. 

Los multiplexores son circuitos combinacionales con varias entradas y una salida dedatos, y están dotados de entradas de control capaces de seleccionar una, y sólo una,de las entradas de datos para permitir su transmisión desde la entrada seleccionada ala salida que es única. La entrada seleccionada viene determinada por la combinación de ceros (0) y unos (1)lógicos en las entradas de control. La cantidad que necesitaremos será igual a lapotencia de 2 que resulte de analizar el número de entradas. Así, por ejemplo, a unmultiplexor de 8 entradas le corresponderán 3 de control. Podemos decir que la función de un multiplexor consiste en seleccionar una de entreun número de líneas de entrada y transmitir el dato de un canal de información único.Por lo tanto, es equivalente a un conmutador de varias entradas y una salida.

 

Dentro de un multiplexor hay que destacar tres tipos de señales: los datos deentrada, las entradas de control y la salida El diseño de un multiplexor se realiza de la misma manera que cualquier sistemacombinatorio desarrollado hasta ahora. Veamos, como ejemplo, el caso de unmultiplexor de cuatro entradas y una salida que tendrá, según lo dicho anteriormente,dos entradas de control. Esta tabla de verdad define claramente cómo, dependiendo dela combinación de las entradas de control, a la salida se transmite una u otra entradade las cuatro posibles. Así: 

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 11/18

CONTROL ENTRADAS DATOS SALIDA

A B I0 I1 I2 I3 S

0 0 0 X X X 0

0 0 1 X X X 1

0 1 X 0 X X 00 1 X 1 X X 1

1 0 X X 1 X 1

1 0 X X X 0 0

1 1 X X X 0 0

1 1 X X X 1 1

 Si deducimos de esta tabla de verdad la expresión booleana que nos dará la funciónsalida, tendremos la siguiente ecuación:S = (/A*/B*I0) + (/A*B*I1) + (A*/B*I2) + (A*B*I3)

Con la que podremos diseñar nuestro circuito lógico.La estructura de los multiplexores es siempre muy parecida a esta que hemos descrito,aunque a veces se añade otra entrada suplementaria de validación o habilitación,denominada «strobe» o «enable» que, aplicada a las puertas AND, produce lapresentación de la salida. 

Tipos de multiplexores Dentro de la gran variedad de multiplexores que existen en el mercado, hay variostipos que conviene destacar a causa de su gran utilidad en circuitos digitales, éstos

son: Multiplexor de 8 entradas.Multiplexor de 16 entradas.Doble multiplexor de 4 entradas. 

Dentro del primer tipo podemos hacer la distinción entre tener la entrada de «strobe» o

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 12/18

no. La tecnología utilizada para su diseño es TTL, de alta integración, y la potencia quedisipan suele ser de unos 150 mW. El tiempo de retardo típico es de unos 25nanosegundos y tienen un "fan - out" de 10. Normalmente, estos circuitos suelendarnos dos tipos de salida: una afirmada y la otra negada. 

En cuanto al segundo tipo de multiplexores, señalaremos que se diferencian de losprimeros en el número de entradas, que es el doble, y que no existe la posibilidad detener dos salidas, sino que sólo podemos optar por la negada y, en consecuencia, a lasalida únicamente se tendrán los datos de la entrada complementados. La potencia dedisipación para estos multiplexores viene a ser de aproximadamente unos 200 mW. Eltiempo de retardo y el "fan - out" son más o menos iguales que en el caso delmultiplexor de 8 entradas. 

Diagrama básico de un multiplexor de 16 entradas y 2 señales de control 

En la ilustración correspondiente podemos ver un multiplexor de 16 entradas, donde, si

hacemos 0 el «strobe», en la salida se obtiene el dato negado de la entradaseleccionada mediante las cuatro entradas de control. En el último de los tipos, dentro del mismo encapsulado del circuito integrado, tenemosdos multiplexores de cuatro entradas de datos: dos de control y una señal de «strobe»cada uno. 

Doble multiplexor de cuatro entradas donde las señales de control son

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 13/18

comunes 

Las entradas de control son comunes para ambos multiplexores, como podemos ver enel circuito de la figura. Al igual que los anteriores, se suelen realizar con tecnología TTLde alta integración, y tienen una disipación media de unos 180 mW. Con estos tres tipos de multiplexores trabajaremos habitualmente, incluso en el casode tener que emplear algún otro de orden superior, es decir, con mayor número deentradas. Para ello, necesitaremos utilizar más de un multiplexor de los descritosanteriormente.

Multiplexor de 32 entradas construido a partir de cuatro multiplexores de 8entradas y uno de 4 entradas

 La forma de conectarlos entre sí depende de la aplicación concreta de que se trate,pero siempre habrá que disponer de más de una etapa de multiplexores, lo cualacarrea un tiempo de retardo. Así, por ejemplo, para seleccionar un dato de entre las32 entradas de que disponemos, deberemos diseñar un sistema análogo al

representado en la figura correspondiente. El primer multiplexor de 8 entradas sitúa secuencialmente los datos de entrada I0 a I7en la línea de salida de éste, a medida que el código de las señales de control vavariando. Análogamente, el segundo multiplexor, también de 8 entradas, transmitirálos datos I8 a I15 a su línea de salida, dependiendo de las señales de control. 

Diagrama de conexión de un circuito integrado que contiene un multiplexor

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 14/18

de 8 entradas y señal de <<strobe>> 

Estas entradas de control están unidas entre sí de manera que cuando, por ejemplo,aparece en la línea de salida del primer multiplexor I1, en la salida del segundo estaráI9, en la del tercero I17 y en la del último I25. Si queremos sacar a la salida delconjunto de multiplexores cualquiera de las líneas de salida anteriormente citadas,necesitaremos utilizar un multiplexor de 4 entradas y, con sus señales de control,activaremos la entrada que nosotros deseemos. Así, por ejemplo, para tener en lasalida final la línea de entrada I1, habría que poner en el último multiplexor de 4entradas la combinación 00 en sus señales de control. Por último, destacaremos que los multiplexores, además de seleccionar datos, tienenotras aplicaciones importantes, a saber: - La conversión paralelo - serie. Como puede ser conducir la salida en paralelo de unordenador hacia un terminal remoto a través de una línea de transmisión serie. - La generación de funciones para lógica combinatoria.

2.4. Demultiplexores

Una de las aplicaciones más características de los decodificadores era sutransformación en los circuitos digitales denominados demultiplexores.

Un demultiplexor consta de una entrada de datos, varias señales de control y laslíneas de salida.

El demultiplexor es un circuito destinado a transmitir una señal binaria a unadeterminada línea, elegida mediante un seleccionador, de entre diversas líneasexistentes.

El dispositivo mecánico equivalente a un demultiplexor será un conmutador rotativounipolar, de tantas posiciones como líneas queramos seleccionar. El seleccionadordetermina el ángulo de giro del brazo del conmutador. 

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 15/18

 La analogía mecánica de un demultiplexor es un selector con una entrada y varias

posiciones de salida.

 Un decodificador se convierte en un demultiplexor añadiendole una señal más a su

circuitería interna. Si se aplica esta señal, la salida será el complemento de dicha señal,ya que la salida es 0 si todas las entradas son 1, y aparecerá únicamente en la líneaseleccionada.

Un decodificador se convierte en un demultiplexor añadiendole una señal más a sucircuitería interna. Si se aplica esta señal, la salida será el complemento de dicha señal,ya que la salida es 0 si todas las entradas son 1, y aparecerá únicamente en la líneaseleccionada.Se puede aplicar a un demultiplexor una señal de habilitación o "enable",conectándose en cascada el decodificador con el circuito compuesto de una puertaAND y dos puertas NOT cuyas entradas son la señal de habilitación y el datoquequeremos transmitir.

Si la entrada de habilitación es 0, la salida será el complemento del dato, es decir,que el dato aparecerá en la línea con el código deseado. Si la entrada de "enable" es 1,la salida será 0, se inhiben los datos en cualquier línea y todas las entradaspermanecen en 1.

Veamos, de otra manera, en qué consiste la función de un circuito demultiplexor.Estos son circuitos que realizan una función contraria a lade los multiplexores, es decir,tienen una única entrada de datos que, mediante unas entradas de control, se pone encomunicación con una de entre varias salidas de datos. La salida concreta seleccionadadepende de la combinación de valores lógicos presentada en las entradas de control.

De la definición se desprende que cualquier decodificador que excite sólo una salidaentre varias, y esté provisto de entrada de inhibición o "enable", puede utilizarse comodemultiplexor, ya que las entradas del código se pueden empleart como entradas decontrol y la señal de inhibición como entrada de datos.

Por el contrario, los decodificadores de tipo BDC a 7 segmentos que dan varias desus salidas para cada combinación de entrada, no pueden ser utilizados como

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 16/18

demultiplexores.En la práctica, no existen circuitos integrados demultiplexores, sino que se fabrican

circuitos decodificadores/demultiplexores, que en realidad son decodificadores conentrada de inhibición ("enable" o "strobe"). En la figura se muestra la construcciónmediante puertas lógicas de un decodificador/demultiplexor de 2 a 4 líneas.

A continuación, veremos el funcionamiento de un decodificador como demultiplexor.Suponemos que se ha representado una combinación de entradas, como por ejemplo101, es decir, A /BC, y con ellas se selecciona la salida número 5, es decir, que la salidasigue a la entrada de datos y ésta es, precisamente, la función del demultiplexor.Dentro de los demultiplexores existen varios tipos característicos y utilizados dentro denuestro PC. Describamos alguno de ellos.Si un valor correspondiente a un número decimal que exceda de nueve se aplica a lasentradas de un demultiplexor, la orden queda rechazada, por lo tanto, las diez salidasquedaran a 1. Si se desea seleccionar una de 16 líneas de salida, el sistema se

ampliará añadiendo seis puertas NAND más y se emplearán los 16 códigos posibles concuatro bit binarios.El demultiplexor de 4 a 16 líneas tiene 4 líneas de selección, 16 de salida, una

entrada de "enable", una entrada de datos, una toma de tierra y otra para laalimentación, de modo que en total se precisa un encapsulado de 24 patillas.

Tambien existen demultiplexores de 2 a 4 y 3 a 8 líneas encapsulados e integradosindividuales.

Un demultiplexor de 1 a 2 líneas se forma con dos puertas NAND de otras tantasentradas. La línea de salida 0 proviene de la NAND, cuyas entradas son la de datos y lalínea A; mientras que la salida 1 está conectada a la NAND, cuyas entradas son la dedatos y la señal A. esta última entrada se denomina de control, ya que si A es 0, en lalínea 0 aparecerá el complemento del dato.

DEMULTIPLEXORES DE GRAN NÚMERO DE LÍNEAS.

Si el número de salidas excede de 16 se emplean demultiplexores de 16,8, 4 ó 2líneas, dispuestas formando una cascada para conseguir el número de salidas deseado.

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 17/18

Para construir un demultiplexor superior a 16 líneas, es necesario combinar losdistintos tipos de multiplexor de 2,4,8 ó 16 líneas. Este es el caso del multiplexor de 32líneas. Por ejemplo, para un demultiplexor de 32 líneas podemos emplear una de 4líneas del que se ramifican cuatro demultiplexores de 8 líneas, como se indica en lafigura correspondiente. Observemos que el número total de salidas es el producto delnúmero de líneas de los cuatro multiplexores por el número de ellos, es decir, 4*8=32.Las líneas 0 a 7 se decodifican en el primer demultiplexor, mientras que el segundodecodifica las ocho siguientes, y así sucesivamente.

Para el valor de las señales de control del demultiplexor de cuatro líneas igual a 01,las líneas 8 a 15 se decodifican secuencialmente a medida que las señales de control AB C pasan desde 0 0 0 hasta 1 1 1. Por ejemplo, la línea 12 se decodificará con laselección de todas las señales de control de los demultiplexores de cuatro y ocholíneas, con el siguiente resultado 0 1 1 0 0, que no es más que la representaciónbinaria del número decimal 12.

8/3/2019 sist. multiplexado 2

http://slidepdf.com/reader/full/sist-multiplexado-2 18/18

Puesto que en un encapsulado hay dos demultiplexores de 2 a 4 líneas, para elsistema representado se necesitará el equivalente a 4, 5 encapsulados. Este mismosistema se puede lograr con un demultiplexor de 8 líneas y 8 de 4 líneas o con uno de2 líneas y dos de 16 líneas. El diseño más apropiado viene determinado por el costetotal.

APLICACIONES DE LOS DEMULTIPLEXORES.

Una de las aplicaciones es la transferencia de datos desde un registro.

Según el valor de la señal de control, se selecciona qué entrada pasa a la salida delmultiplexor. Cuando se aplique el pulso de transferencia al registro, dicha señal desalida pasa al registro