Taller de VHDL[1]

1
FUNDACIÓN CENTRO COLOMBIANO DE ESTUDIOS PROFESIONALES Taller de VHDL Electiva I Ing. Rafael Rentería 1. Mediante un estilo funcional, programe en VHDL el funcionamiento de una lámpara para código Morse. (Defina dos códigos, uno para encender y otro que apague) 2. Mediante el estilo de programación por flujo de datos, programe en VHDL el funcionamiento de un robot en una planta que espera a que se llene una tarima con cuatro cajas antes de llevarla a la bodega de almacenamiento; para saber si la tarima está llena cuenta con cuatro sensores, cada uno apunta a sendas cajas; si hay una caja marca un '1 lógico'; si falta, marca un '0 lógico'. Si falta alguna caja el robot no se puede ir, cuando están las cuatro cajas el robot se lleva la tarima. 3. Con un estilo por flujo de datos, programe en VHDL el funcionamiento de un panel en una fábrica de empaquetamiento de arroz. Este panel muestra el contenido de 2 silos (a, b) que tiene la fábrica para guardar el arroz; un sensor detecta cuán llenos están, cuando se encuentran al 100% de su capacidad, envía un '1 lógico', y cuando tienen 25% o menos envía un '0 lógico'; si en uno de estos silos disminuye el contenido a 25% o menos, se prende una luz (c), si los dos sobrepasan ese límite se enciende otra luz (d) y suena una alarma (e). 4. Realizar los puntos del taller número uno.

description

sobre digitales 1

Transcript of Taller de VHDL[1]

Page 1: Taller de VHDL[1]

FUNDACIÓN CENTRO COLOMBIANO DE ESTUDIOS PROFESIONALES

Taller de VHDL

Electiva I

Ing. Rafael Rentería

1. Mediante un estilo funcional, programe en VHDL el funcionamiento de

una lámpara para código Morse. (Defina dos códigos, uno para

encender y otro que apague)

2. Mediante el estilo de programación por flujo de datos, programe en

VHDL el funcionamiento de un robot en una planta que espera a que se

llene una tarima con cuatro cajas antes de llevarla a la bodega de

almacenamiento; para saber si la tarima está llena cuenta con cuatro

sensores, cada uno apunta a sendas cajas; si hay una caja marca un '1

lógico'; si falta, marca un '0 lógico'. Si falta alguna caja el robot no se

puede ir, cuando están las cuatro cajas el robot se lleva la tarima.

3. Con un estilo por flujo de datos, programe en VHDL el funcionamiento

de un panel en una fábrica de empaquetamiento de arroz. Este panel

muestra el contenido de 2 silos (a, b) que tiene la fábrica para guardar el

arroz; un sensor detecta cuán llenos están, cuando se encuentran al

100% de su capacidad, envía un '1 lógico', y cuando tienen 25% o

menos envía un '0 lógico'; si en uno de estos silos disminuye el

contenido a 25% o menos, se prende una luz (c), si los dos sobrepasan

ese límite se enciende otra luz (d) y suena una alarma (e).

4. Realizar los puntos del taller número uno.