Trabajo Practico sobre MSI

24
Técnicas Digitales I TP7 - MSI TP 7 - PROBLEMAS SOBRE CIRCUITOS M.S.I. 1).- Usando como base dos codificadores 74148 de 8 líneas a 3 y las compuertas reales necesarias, construir un codificador de 16 líneas a 4, cuyas salidas tengan como estado activo el nivel alto. Indicar cual es la tensión de alimentación y el consumo del circuito completo , 2).- a) Usando dos codificadores 74LS138 de 3 líneas a 8, construir uno de 4 a 16, con 3 entradas de habilitación . b) Usando como base el dispositivo desarrollado en el punto anterior y escasa lógica adicional implementar el circuito del punto 5a del TP3 . c) Usado como base el mismo dispositivo, implementar el circuito del punto 3 del TP4 . 3) Realice un programa, utilizando VHDL que describa el funcionamiento de un 74LS138, de por lo menos 2 opciones de dicha descripción . 4). a) Usando como base dos multiplexores 74151 de 8 vías, construir uno de 16 vías, b) Dar en VHDL, la descripción del 74LS151. c) Dar la descripción del multiplexor de 16 vías . 5).-Usando como base un multiplexor de 8 vías 74251, implementar la función: _ _ _ _ _ Z = B.A + D.C.B + C.B.A + D.C + B.A 6).- Implementar utilizando una ROM un circuito cuyo funcionamiento sea tal que, al introducirle 3 dígitos binarios, se obtenga en un display de cátodo común a las salidas expresadas en la siguiente tabla . C B A Salid a 0 0 0 0 0 0 1 A 0 1 0 b 0 1 1 C 1 0 0 d 1 0 1 E 1 1 0 F 1 1 1 g Autor : Ing. Daniel Acerbi 1

description

Trabajo practico de la asignatura digitales 1 de la utn acerca de circuitos logicos msi

Transcript of Trabajo Practico sobre MSI

PROBLEMAS SOBRE CIRCUITOS M

Tcnicas Digitales I

TP7 - MSI

TP 7 - PROBLEMAS SOBRE CIRCUITOS M.S.I.

1).- Usando como base dos codificadores 74148 de 8 lneas a 3 y las compuertas reales necesarias, construir un codificador de 16 lneas a 4, cuyas salidas tengan como estado activo el nivel alto. Indicar cual es la tensin de alimentacin y el consumo del circuito completo ,

2).-

a) Usando dos codificadores 74LS138 de 3 lneas a 8, construir uno de 4 a 16, con 3 entradas de habilitacin .

b) Usando como base el dispositivo desarrollado en el punto anterior y escasa lgica adicional implementar el circuito del punto 5a del TP3 .

c) Usado como base el mismo dispositivo, implementar el circuito del punto 3 del TP4 .

3) Realice un programa, utilizando VHDL que describa el funcionamiento de un 74LS138, de por lo menos 2 opciones de dicha descripcin .

4).

a) Usando como base dos multiplexores 74151 de 8 vas, construir uno de 16 vas,

b) Dar en VHDL, la descripcin del 74LS151.

c) Dar la descripcin del multiplexor de 16 vas .

5).-Usando como base un multiplexor de 8 vas 74251, implementar la funcin:

_ _ _ _ _

Z = B.A + D.C.B + C.B.A + D.C + B.A6).- Implementar utilizando una ROM un circuito cuyo funcionamiento sea tal que, al introducirle 3 dgitos binarios, se obtenga en un display de ctodo comn a las salidas expresadas en la siguiente tabla .

CBASalida

0000

001A

010b

011C

100d

101E

110F

111g

7). Obtener un circuito que al ingresarle un nmero en binario de 4 bits detecte cuando el mismo es mayor o igual que 5 mediante los siguientes bloques:

a) Un multiplexor de 8 lneas a 1.

b) Un sumador de 4 bits.

c) Un comparador de magnitudes de 4 bits.

8). Usando los elementos que se indican en cada caso, disear :

a) Con un sumador MC14008 : un conversor de BCD Natural a Exceso 3.

b) Con un sumador MC14008: un conversor de Exceso 3 a BCD Natural.

c) Con un sumador MC14008: un conversor de Aiken a BCD Natural.

d) Con dos sumadores MC14008: un conversor de BCD Natural a Aiken.

En cada caso utilizar un componentes reales .

9) Usando como base sumadores binarios de 4 bits, disear un sumador-restador de 1 byte apto para operar tanto entre magnitudes como entre nmeros enteros segn convenio de complemento a 2, e incluirle adems de los 1 byte del resultado, los siguientes indicadores:

a) C/B: indicar si hubo acarreo en suma o en prstamo en la resta (es decir, si el resultado es invlido en el caso de que los operandos hayan sido magnitudes).

b) N: indicar si el resultado es negativo (suponiendo que los operandos hayan sido nmeros enteros).

c) Z: indicar si el resultado fue cero.

d) V: indicar si hubo desborde ( es decir, si el resultado es invlido en el caso de que los operandos hayan sido nmeros enteros.

10).- Disee un indicador de prueba de lmparas para un avin comercial que pruebe 8 importantes luces de vuelo y aterrizaje. Cada lmpara tiene un pequeo resistor entre la lmpara y tierra como se muestra en la figura. Cuando la lmpara esta operando normalmente la tensin sobre el resistor es de aproximadamente +5V. Cuando la lmpara no opera ( quemada o desconectada ) la tensin sobre el resistor es de 0V. El chequeador de lmparas debe indicar al comandante con una alarma lumnica y sonora cuando una o mas lamparas no funcionan y adems debe indicarle, en un display de 7 segmentos, cual es la que no funciona siguiendo un orden de prioridades establecido ( L0 mayor importancia que L7 ) .

.

11).- La regla para sumar dos nmeros decimales codificados en BCD Exceso 3 es la siguiente:

I. Sumar en binario cada uno de los dgitos codificados. Esta suma se har secuencial y ordenadamente, efectuando primero la de los dgitos menos significativos. En cada suma se incorporar el eventual carry que se produjera en la suma del dgito anterior, y se emitir un carry para la suma del dgito siguiente cada vez que dicha suma binaria lo produzca. (es decir, que el carry no necesita correccin).

II. Deben corregirse las sumas de todos los dgitos sin excepcin: restndole 3 si no hubo carry de esa suma, y sumndole 3 si lo hubo (descartando el carry que se produzca al sumar 3.

Se pide:

a) Comprobar las reglas con el siguiente ejemplo, dado sin codificar an: 3842 + 4664 = 8506.

b) Disear un sumador decimal de un dgito para el cdigo BCD Exceso 3 que opere segn esta regla.

c) Utilizar e resultado del punto anterior para disear un sumador BCD Exceso 3 de tres dgitos.

d) Utilizar el resultado del punto anterior para disear un sumador restador BCD Exceso 3 de tres dgitos.

12).-

a) Utilizando como base integrados MSI de tecnologa TTL, disear un circuito al que se le ingresan dos magnitudes binarias de 4 bits cada una (A y B) y suministre a la salida la menor de ambas.

b) Modificar el circuito anterior agregndole una entrada de modo tal que cuando esta entrada est en 0 salga la menor de las magnitudes de entrada, pero cuando est en 1 lo haga la mayor.

13) Disear el circuito de un dispositivo lgico al cual ingresan por la entrada un numero binario de 4 bits y las salidas deben mostrar en un display de 2 dgitos el numero que ingresa por la entrada. El circuito se debe disear utilizando integrados MS14511 y 2 display de ctodo comn, la tensin de VDD debe ser de 12V, calcule el consumo del dispositivo .

14)Se desea construir un sistema de transmisin de datos de 4 bits que utilice el cdigo de Hamming visto en clase. Se ha concebido para el mismo, el diagrama de bloques que muestra la figura:

Se pide disear los correspondientes circuitos, teniendo en cuenta que la funcin de los distintos bloques es la siguiente:

a) El bloque generador de bits de paridad, genera los 3 bits de paridad par que habrn de transmitirse junto a los 4 bits de informacin.

b) El bloque generador de sndrome de error evala si se ha preservado la paridad en los conjuntos de bits asociados a cada bit de paridad, y dispone de una salida asociada a cada bit de paridad que seala con un 1 cuando no se haya conservado la paridad. El conjunto de estas salidas es el sndrome de error.

c) El bloque de toma de decisin, en base al sndrome de error, decide si alguno de los bits de informacin debe ser corregido y, en caso afirmativo cul. El resultado de esta decisin lo muestra en su salida, donde hay una seal asociada a cada uno de los bits de informacin. Si esta seal est en 0 indica que su bit asociado lleg sin error, mientras que si est en 1 indica que su bit asociado lleg con error.

d) El bloque corrector recibe los bits de informacin y los bits asociados producidos en el bloque de decisin. En el caso que haya un bit asociado en estado 1, el correspondiente bit de informacin es corregido (es decir, si es 0 pasa a 1, y viceversa). Los bits de informacin cuyo bit asociado est en 0 son puestos a la salida sin ser afectados.

Resolucin de problemas

1)

74LS148

Vccmin=4,5-4,75

Vccmax=5,5-5,25

Consumo del circuito:

2)a)

b) Z=m4(0,2,3,8,10,11,12,13,14)

c) Z=m4(5,6,7,8,9,10,11,12,13,14,15)

3) VHDL 74LS138 Usando withselectlibrary ieee;

use ieee.std_logic_1164.all;

entity deco is

port (sel: in std_logic_vector (2 downto 0));

sal: (out std_logic_vector (7 downto 0));( E: in std_logic_vector (2 downto 0));

end deco;

architecture bhv2 of deco is

begin

with sel select

sal