diseño e implementación de convertidor buck

18
 UNIVERSIDAD FRANCISCO DE PAULA SANTANDER  1 DISEÑO E PLANEACIÓN DE UN CONVERTIDOR BUCK, EMPLEANDO UN CONTROL PWM REALIMENTADO, CON SALIDA DE 24V, PARA ALIMEN TAR UNA CARDA DE POTENCIA VARIABLE ENTRE 20W Y 100W. Es t. Wil lia m Idel fons o C ontreras Carrillo C ód. 1090 385, Es t. Shirl ey Z ambrano Cód. 1090394, Est. Rubén Báez RomeroCód.1090126 Univ ersidad Francisco De Pau la Santander , Dpto. de Electric idad y Electrónica  Av . Gran Colombia, Colsag, Nor te de S antande r, Colombia . Resumen- en el presente informe se presentan la metodología y procedimiento con el cual se diseñó e implemento en convertidor reducto teniendo en cuenta requerimientos de voltaje de salida y de potencia del sistema. Además del anál isis y selección del controlador encargado de la linialización de sistema. Palab ras claves: convert idor directo, convert idor DC/DC, convertidor reductor, Buck, control PWM realimentado.  1. I NTRODUCCIÓN Los conv ertidores reductores (Buck o step down) s on par t e integral de muchos equi pos electrónicos actuales. Estos permiten reducir un voltaje continuo (generalmente no regulado) a otro de menor magnitud (regulado). Básicamente están formados por una fuente DC, un dispositivo de conmutación y un filtro pasa-bajos que alimentan a una determinada carga. Hay dos diseños básicos para los reguladores: regulador lineal y regulador conmutado. El funcionamiento del primero e s s imilar a una res istencia variable que ma nt ie ne el v oltaje de carga constante gracias a la realim entación proveniente de carga. En un regulador conmutado se emplean principalmente elementos de conmutación e inductores para lograr obtener el volt aje d e carga d eseado . Los convertidores tipo Buck a diferencia de los lineales tienen problemas de generación de Interferencia Electromagné tica pero ofrecen un a elevada eficienci a en la mayorí a de los caso s. 2. OBJETIVOS  Recono cer el funciona mi ento del control realime nt ad o como desarrollo de la ingeniería a fin de realizar funciones automáticas de confiabilidad en los procesos industriales.  Obt ener el mod elo matem ático que describe la dinámica del conv ertidor.  Proponer un circuit o que imple mente el control PWM que se ajus te a la necesidad de diseño como contr ol realimentado, además de la generación de las señales inmersas en el proceso de modulación.  Diseñar e implementar el sistema de acondicionamie nto del sens ado de v oltaje a la salida del sis tema.  Seleccionar de acuerdo a los requerimie ntos de diseño, los dispositivos eléctricos y electrónicos necesarios la imp lemen tación de t odo el proyec to.  Impleme ntar el sis tema teniendo en cuenta los diseños y requer imientos del m ismo. 3. PARÁMETROS DE DISEÑO V oltaje de entrada : v ariab le entre 30 y 40 v oltios V oltaje de salid a: 24 v oltios ± 3% Riz ado de v oltaje: menor al 2% Potenc ia de sali da: v ariable entre 20 y 100 watts La modulación PWM se debe implementar usando amplific adores operac ionales

description

se denota el diseño de la topología del sistema de potencia ademas de la implementación del sistema de control de forma analoga.

Transcript of diseño e implementación de convertidor buck

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER   1 
DISEÑO E PLANEACIÓN DE UN CONVERTIDOR BUCK, EMPLEANDO UN CONTROL PWM REALIMENTADO, CON
SALIDA DE 24V, PARA ALIMENTAR UNA CARDA DE POTENCIA VARIABLE ENTRE 20W Y 100W.
Est. Wil liam Idelfonso Contreras Carrillo Cód. 1090385, Est. Shirley Zambrano Cód. 1090394, Est. Rubén Báez RomeroCód.1090126
Universidad Francisco De Paula Santander, Dpto. de Electricidad y Electrónica  Av. Gran Colombia, Colsag, Norte de Santander, Colombia.
Resumen- en el presente informe se presentan la
metodología y procedimiento con el cual se diseñó e
implemento en convertidor reducto teniendo en cuenta
requerimientos de voltaje de salida y de potencia del
sistema. Además del anál isis y selección del controlador
encargado de la linialización de sistema.
Palabras claves: convertidor directo, convertidor DC/DC,
convertidor reductor, Buck, control PWM realimentado. 
1. INTRODUCCIÓN 
integral de muchos equipos electrónicos actuales. Estos
permiten reducir un voltaje continuo (generalmente no
regulado) a otro de menor magnitud (regulado).
Básicamente están formados por una fuente DC, un
dispositivo de conmutación y un filtro pasa-bajos que
alimentan a una determinada carga.
Hay dos diseños básicos para los reguladores: regulador
lineal y regulador conmutado. El funcionamiento del
primero es s imilar a una res istencia variable que mantiene
el voltaje de carga constante gracias a la realimentación
proveniente de carga. En un regulador conmutado se
emplean principalmente elementos de conmutación e
inductores para lograr obtener el voltaje de carga deseado.
Los convertidores tipo Buck a diferencia de los lineales
tienen problemas de generación de Interferencia
Electromagnética pero ofrecen una elevada eficiencia en la
mayoría de los casos.
como desarrollo de la ingeniería a fin de realizar
funciones automáticas de confiabilidad en los
procesos industriales.
dinámica del convertidor.
  Proponer un circuito que implemente el control PWM
que se ajus te a la necesidad de diseño como control
realimentado, además de la generación de las
señales inmersas en el proceso de modulación.
  Diseñar e implementar el sistema de
acondicionamiento del sensado de voltaje a la salida
del sis tema.
diseño, los dispositivos eléctricos y electrónicos
necesarios la implementación de todo el proyecto.
  Implementar el sis tema teniendo en cuenta los
diseños y requerimientos del m ismo.
3. PARÁMETROS DE DISEÑO
Voltaje de entrada: variable entre 30 y 40 voltios Voltaje de salida: 24 voltios ± 3% Rizado de voltaje: menor al 2% Potencia de salida: variable entre 20 y 100 watts
La modulación PWM se debe implementar usando amplificadores operacionales
 
4. MARCO TEÓRICO
4.1. Convertidores CD/CD
En diversas aplicaciones industriales, es necesario el convertir una fuente de poder de corriente directa (CD) de voltaje fijo o variable. Un convertidor de CD, convierte de CD a CD directamente. Este convertidor se puede considerar como el equivalente a un transformador de corriente alterna (AC) con una relación de vueltas que varía en forma continua. Al igual que un transformador, puede usarse como una fuente de CD reductora o elevador de voltaje.
Los convertidores CD-CD se utilizan ampliamente en el control de los motores de tracción de automóviles eléctrico, tranvías eléctricos, grúas marinas, montacargas y elevadores de minas.
4.2. Topologías de Convertidores DC/DC
Entre estas encontramos:
4.3. Celda Canónica de Conmutación
Esta es la topología practica más elemental del convertidor DC/DC, esta celda representa el bloque básico que conforma a todos los convertidores CD/CD de alta frecuencia. [1]
Figura 2. Topología de la celda canónica de conmutación. [2]
Las diferencias entre los convertidores radican fundamentalmente, en la manera como se conectan los sistemas externos a la celda. Estas conexiones determinan las relaciones de conversión de entrada/salida y los esfuerzos impuestos a los componentes de la celda, debido a los niveles d voltaje y corriente. [1]
Como se observa en la figura 2 la topología de la celda, en esta se destacan tres terminales A, B, C, y un interruptor de doble tiro, además de los elementos capacitivos e inductivos.
En la figura 3 se m uestra la topología resultante de hacer el terminal B como común, esta topología es denominada, convertidor directo ya que existe un paso de corriente de forma directa entre el puerto de entrada y el puerto de salida. Este convertidor el reductor (Buck) si se alimenta por el puerto A, y elevador (Boost) si se hace por el puerto C.
Figura 3. Topología de convertidor directo. [1]
 
UNIVERSIDAD FRANCISCO DE PAULA SANTANDER   3 
 Al disponer el terminal C como común, se obtiene la topología del convertidor indirecto, que puede ser elevador o reducto dependiendo de su relación de trabajo alimentando siem pre por el puerto A.
Figura 4. Topología de convertidor indirecto. [1]
4.4. Convertidor Reductor o Buck
Este convertidor presenta un voltaje menor a la salida con respecto al que se encuentra en la entrada. Su diagrama se muestra en la figura 5.
La operación del circuito se d ivide en 2 modos . El modo 1 empieza cuando se conecta el transistor en t=0. La corriente de entrada que se eleva, fluye a través del inductor L1, del capacitor C y la resistencia de carga. El modo 2 comienza cuando se desconecta el transis tor en t=t1.el diodo de marcha libre D1 conduce debido a la energía almacenada en el inductor y la corriente del inductor continua fluyendo a través de L, C; la carga y el diodo. La corriente del inductor se abata hasta que el ciclo del transistor se vuelva a activar.
Figura 5. Convertidor Buck
El voltaje de salida, Vout, está dado por:
 = ∗  Donde D es el ciclo de trabajo de la s eñal de control y Vs es e l voltaje de la fuente.
4.5. Controladores A nalógi cos.
El control analógico es aquel en el que las variables a controlar y las que se procesan en el s istema se presentan de forma continua, de modo que las relaciones que aparecen entre las señales de entrada y salida son ecuaciones y funciones continuas. Esto hace que durante el proceso de análisis y síntesis se puede aplicar la teoría de la transformada de Laplace y todas sus consecuencias, como el estudio de la estabilidad de los sis temas y su optimización.
En general los sis temas analógicos son muy empleados para el control de variables analógicas, como la velocidad, la presión de un fluido, la temperatura, la tensión de alimentación, humedad, luminosidad, etc.
 A continuación se mencionan las características de cualquier sistema de control.
Características de un sistema de control:
  Señal de Corriente de Entrada: Considerada como estímulo aplicado a un sistema desde una fuente de energía externa con el propósito de que el sistema produzca una respuesta específica.
  Señal de Corriente de Salida: Respuesta obtenida por el sistema que puede o no relacionarse con la respuesta que implicaba la entrada.
  Variable Manipulada: Es el elemento al cual se le modifica su magnitud, para lograr la respuesta deseada. Es decir, se manipula la entrada del proceso.
  Variable Controlada: Es el elemento que se desea controlar. Se puede decir que es la salida del proceso.
  Conversión:  Mediante receptores se generan las variaciones o cambios que se producen en la variable.
  Variaciones Externas: Son los factores que influyen en la acción de producir un cambio de orden correctivo.
 
UNIVERSIDAD FRANCISCO DE PAULA SANTANDER   4 
Control a lazo abierto Sistemas de control en lazo abierto son s istemas en los que la salida no tiene efecto sobre la acción de control, o dicho de otra forma, son aquellos en los que la s eñal de s alida no tiene influencia sobre la señal de entrada. La variable que deseamos controlar puede diverger considerablemente del valor deseado debido a las perturbaciones externas, por lo que, en este tipo de sistemas interesa una gran calibración de los componentes que forman las d i-versas etapas, así como la no existencia de dichas perturbaciones.
Figura 6. Sistema de control de lazo abierto
Control de lazo cerrado Son los s istemas en los que la acción de control está en función de la señal de salida. El sistema es más flexible y capaz de reaccionar si el resultado que está obteniendo no es el esperado; los sis temas a los que podemos llamar robots casi siempre son de lazo cerrado.
Figura 7. Sistema de control de lazo cerrado
Una vez se tiene la función de transferencia que describe la dinám ico del proceso a controlar, se procede a realizar un anális is de es tabilidad del s istema del cual se obtiene el criterio necesario para seleccionar la es trategia de control que linealizara el sistema.
 A continuación se mencionan tres acciones de control y sus respectivas características.
Control proporcional La parte proporcional cons iste en el producto entre la señal de error y la constante proporcional para lograr que el error en estado estacionario se aproxime a cero, pero en la
mayoría de los casos, estos valores solo serán óptimos en una determinada porción del rango total de control, siendo distintos los valores óptimos para cada porción del rango. Sin embargo, existe también un valor límite en la cons tante proporcional a partir del cual, en algunos casos , el sistema alcanza valores superiores a los deseados. Este fenómeno se llama s obreoscilación y, por razones de seguridad, no debe sobrepasar el 30%, aunque es conveniente que la parte proporcional ni siquiera produzca sobreoscilación.
Control integrativo El modo de control Integral tiene como propósito disminuir y eliminar el error en estado es tacionario, provocado por el modo proporcional. El control integral actúa cuando hay una desviación entre la variable y el punto de consigna, integrando es ta desviación en el tiempo y sumándola a la acción proporcional. El error es integrado, lo cual tiene la función de promediarlo o sumarlo por un período determinado.
Control derivativo La acción derivativa se manifiesta cuando hay un cambio en el valor absoluto del error; (si el error es constante, solamente actúan los modos proporcional e integral).
El error es la desviación existente entre el punto de medida y el valor consigna, o "Set Point".
La función de la acción derivativa es mantener el error al mínimo corrigiéndolo proporcionalmente con la mism a velocidad que se produce; de esta manera evita que el error se incremente.
Figura 8. Acciones de control PID
Cada una de estas acciones de control se pueden unir en la sintonización del controlar analógico, formando así controles PI, PD, PID.
4.6. Control PWM
 
UNIVERSIDAD FRANCISCO DE PAULA SANTANDER   5 
señal periódica (una sinusoidal o cuadrada), ya sea para transmitir información a través de un canal de comunicaciones o para controlar la cantidad de energía que se envía a una carga.
El ciclo de trabajo de una señal periódica es el ancho relativo de su parte positiva en relación con el período. Expresado matemáticamente:
T   D
    

 
D Es el ciclo de trabajo.  Es el tiempo en que la función es posi tiva (ancho del pulso).
T es el período de la función.
Figura 9. Ondas PWM, con D igual a 50% y 20%
La construcción típica de un circuito PWM se lleva a cabo mediante un comparador con dos entradas y una s alida. Una de las entradas es una onda dientes de sierra, mientras que la otra queda disponible para la señal moduladora. En la salida la frecuencia es generalmente igual a la de la señal dientes de sierra, y el ciclo de trabajo está en función de la portadora.
5. MODELAMIENTO MATEMÁTICO DEL CONVERTIDOR BUCK
Para el convertidor BUCK se tiene que:
<  >=    
<  >= ∗  
Figura 10. Esquema del convertidor reductor
Para el desarrollo del modelo matemático del convertidor DC-DC reductor, se inicia asumiendo que el s istema s e comporta de forma continua, esto implica que el voltaje y la corriente en el capacitor y el inductor son constantes.
El convertidor tiene dos etapas de funcionamiento una primera en la que el interruptor s1 se cierra (µ=1), y una siguiente en la que el interruptor cierra (µ=0).
 Aplicando la ley de los voltajes de Kirchhoff en la figura 10, tenemos que:
 ∗ =  +  +   (1)
 =  +  +   (2)
 =       (3)
 Aplicando ley de las corriente de Kirchhoff en el nodo A, tenemos que
 =  +   (4)
 =     +     (5)
  =         (6)
 
[ 1  2    ] =   11    1 ∗  1 2+ 0   ∗  
=  0 1  1 2  Obteniendo de lo anterior la función de transferencia:
1 =  ()()   =    ∗   1 +   1 +   1  
La representación en espacios de estado para la dinámica , es la s iguiente
[ 1  2    ] =   11    1 ∗  1 2+ 0 ∗  
=  0 1  1 2   A partir de esto obtenemos la función de transferencia en función de Laplace:
2 =   () ()   = ∗   1 +  1 +   1  
La dinámica del s istema como tal será el resultado de un estímulo de voltaje a la entrada que provocara una salida de voltaje, pero a su vez se tiene una dinámica que me describe el comportamiento de la relación de trabajo D en el sis tema.
Figura 11. Diagrama de bloques de la dinámica del convertidor BUCK
6. CALCULO DE LOS FILTROS L Y C NECESARIOS PARA LA IMPLEMENTACIÓN
DEL DISEÑO PLANTEADO
Para el respectivo cálculo de estos elementos se estipula una frecuencia de conmutación de 500Khz.
  Calculo de la D de trabajo
V o= DV i  
=  
P= V*I
6.1. . Calculo de L
  Modo conducción continua MCC
En t=0 comienza a conducir el interruptor S1, el circuito equivalente de es ta etapa está representado en la Figura 10. Como la tensión de salida Vo es menor que Vi la corriente por L será creciente durante este intervalo. La corriente que circula por S es igual a la de L.
Un tiempo DT después se apaga el interruptor S1. Se genera entonces una sobretensión que hace conducir al diodo de rueda libre (S2), manteniendo así la continuidad de la corriente por L. El nuevo circuito está representado en la Figura 13. La corriente por L es ahora decreciente.
 
Figura 12. Derecha (conmutación desde t=0), izquierda (sobretensión)
Figura 13. Formas de ondas del convertidor buck en modo continuo 
Intervalos:
  =  
 Ahora determinamos el valor de la inductancia crítica que proporciona modo continuo en el caso más desfavorable. Utilizando una Imin.
= (1 )2  
= (1 0,8)242 ∗ 50010 ∗0,833 = 5,76µ 
 Añadimos un margen de seguridad del 25% y tomamos el valor nom inal.
L= 5,76µH*1,25= 7,20µH
  Modo de conducción Discontinua MCD 
Suponiendo la corriente iL se anula a partir de algún instante del intervalo DT-T, hasta t=T. Y la inductancia con un valor menor. En t=0 empieza conducir el interruptor S. El valor inicial de la corriente L es cero. Un tiempo después t=DT el interruptor se apaga y empieza a conducir el diodo de rueda libre. Y la corriente del inductor se anula, estos estados a intervalos de conmutación los vemos se modelan con los circuitos equivalentes de la figura 15. En la figura siguiente se detallan las formas de onda de este modo de conducción.
 
Figura 15. Estados del convertidor en modo discontinuo 
Se observa de la forma de onda de vD en la Fig. Que en el modo de conducción discontinua la tensión de salida Vo es mayor que en el modo de conducción continua (DVi), si se considera el m ismo ciclo de trabajo D. Se cumple:
DVi = θVo  (1)
Sea I la corriente media entregada por la fuente de salida Vo a la carga, será igual al valor medio de la corriente por L.
<IL>= I
Reemplazando la ecuación uno, se obtiene una función de transferencia.
 = 11 + 2  
Despejando para hallar la inductancia. Y utilizando la corriente mínima. Una frecuencia de 500khz, Vi= 30V, D= 0,8.
= (1,25 1)2 ∗ 50010 ∗0,833 ∗0,8 ∗ 30 
L= 5,76µH
Figura 16. Análisis del filtro capacitivo
  =    
 =    
 
UNIVERSIDAD FRANCISCO DE PAULA SANTANDER   9 
Figura 18. Diagrama de bloques del convertidor BUCK, usando control PWM a lazo cerrado.
Teniendo en cuenta que el rizado en la salida debe ser máximo del 2%, Vo= 0,48
 = (1)8   = (1 0,8)248∗ 7,2010− ∗ (50010) ∗ 0,48 
 = 0,69 ≈ 1 
 = (1 )8   = (210−) ∗ 24(1 0,8)8 ∗110− ∗ 7,2010− = 0,33 
7. SELECCIÓN Y DISEÑO DE LA ESTRATEGIA DE CONTROL
7.1. S elección de la E strateg ia de Control
Para la selección de la es trategia de control se trabajara con el modelo ideal del convertidor, luego se omitirá el valor de la resistencia . () =   ∗   1/ + 1 +  1 
() = 5,9910( + 1041458 + 1,9910) 
() = ∗   1/ + 1 +  1 () = 1,599610( + 1041458 + 1,9910)  En el diagrama de bloques descrito en la figura 18, se observa la dinámica del lazo de control a implementar, esta se inicia sensando la salida de voltaje del convertidor para luego acondicionarla a valores estandarizados (de 0 -5v o de 0-10v), esta será llevada y comparada con nuestra señal de referencia o set point, que de acuerdo a los requerimientos de diseños deberá representar 24v a la salida del convertidor.
El resultado de la comparación se denomina señal de error que entrara a ser manipulada por la es trategia de control que m ejor desempeño muestre respecto a los valores de diseño y a la dinámica del m ismo sistema. Esta señal de control será modulada en una señal PWM, y será la encargada de controlar los tiempos de conmutación del sis tema, logrado asi una salida de voltaje de 24v±2%
Con la implementación del software Matlab, se realizó en simulink el análisis de las respuestas del s istema con las tres diferentes estrategias de control, y con entradas de 30V y 40V, obteniendo la información concentrada en la tabla 1.
Figura 19. Diagrama de control implementado en simulink.
 
UNIVERSIDAD FRANCISCO DE PAULA SANTANDER   10 
Figura 20. Repuesta del convertidor a entradas de 30v (onda amaril la) y 40v (onda morada), implementando un control PI
Tabla 1. Resultados obtenidos del análisis de las estrategias de control
E strateg ia de control
E strada de 30V Entrada de 40V
P Tiempo de estabilización corto de menos de 1ms, no presenta overshoot, error en estado estable del 0,41%
Tiempo de estabilización corto de menos de 1ms, no presenta overshoot, error en estado estable del 20,83%
PI Tiempo de estabilización de 1ms, overshoot de 8%, error en estado estable de cero
Tiempo de estabilización de 1ms, overshoot de 12%, error en estado estable de cero
PID Tiempo de estabilización de 1ms, overshoot
de 50%, error en estado estable de cero
Tiempo de estabilización de 1ms, overshoot
de 65%, error en estado estable de cero
El control que muestra una mejor respues ta en la variación de voltaje es el control PI (proporcional-integrativo), ya que en las dos situaciones presenta error en estado es table nulo, adicional a esto aunque presenta un overshoot alto, los filtro reducirán esta variación.
De acuerdo a esto se implementara un control PI, que se encargara de estabilizar el sistema, la salida de este controlador será posteriormente modulada en una señal PWM que gobernara el encendido y apagado del transis tor de convertidor reductor.
7.2. Diseño del Controlador  
Para la implementación del sis tema de control se usó la topología que se observa en la figura 21.
Figura 21. Esquema de PI analógico.
Donde:
= 0.02085 
= 524.913230443933 
5 =    = 39,72 ≈ 40   Para el cálculo de las resis tencias, inicialmente se estipula R22 igual a 1K, luego R23: 
23= ∗ 22= 20,85 ≈ 21 
La salida de esta señal de control PI, posteriormente se compara con una señal dientes de sierra, cuya frecuencia es de 500KHz, la topología que implementa esta señal es la descrita en a figura 22, y su salida se muestra en la figura 24.
Figura 22. Esquema para la generación de la señal rampa
Figura 23. Señal rampa obtenida en la simulación 
El proceso de generación de la señal rampa posee dos etapas, en una primera permite la colocación de la frecuencia deseada en el sistema, que sería la frecuencia de conmutación del Buck (500KHz), con la producción de impulsos momentáneos (ver figura 21), que controlaran la activación del transis tor NPN ubicado en la segunda etapa del generador de señal, controlando a su vez la carga y descarga del capacitor, en esta etapa final también se logra
el control de la pendiente de la rampa de salida con la resistencia R10, que en la implementación real es un potenciómetro cuya variación de la resis tencia permite a su vez la variación del voltaje de entrada al in tegrador , el valor máximo de la señal rampa debe ser igual al valor máximo generado por el control PI, para es te caso en particular este valor es de 3,77V.
Figura 24. Pulso de activación de transistor NPN
Una vez se dispone de la señal de control y de la señal rampa, estas son comparadas (figura 25) para generar la señal PWM (figura 26), que se encargara de gobernar el encendido y apagado del Mosfet ubicado en el convertidor DC/DC.
Figura 25. Modulador PWM
Figura 26. Señal modulada producto del proceso comparativo
Para que sea llevada a la compuerta del Mosfet la señal de control PWM, se dis puso de un driver, para aislar la tierra del s is tema de control de la tierra del convertidor Buck, para este aislamiento se empleó el integrado IR2112, y la topología implementada para la ejecución de este driver fue extraída de la información suministrada por el fabricante, y se muestra a continuación:
Figura 27. Driver para el Mosfet del convertidor Buck
8. DISPOSITIVOS SELECCIONADOS PARA LA IMPLEMENTACIÓN
8.1. Implementados Dentro del Convertidor DC/DC
Para la construcción del inductor empleado como filtro a la salida del convertidor DC/DC, se empleó un núcleo UU de ferrita y cable para bobinar de calibre 15 para soportar corrientes de hasta 6A. Dicho inductor se construyó para tener una inductancia de 10 veces la calculada inicialmente, cuyo valor seria de 72uH.
El capacitor seleccionado fue en conformidad a la capacitancia calculada, y para operación nom inal de 50v.
El transistor dispuesto fue un Mosfet, ya que la conmutación se realizara a alta frecuencia y lo que se desea es la disminución de pérdidas por conmutación en el sistema.
Figura 28. Mosfet implementado. [9]
Este Mosfet es la referencia CSD1950KCS (figura 28), cuyas características principales se mencionan a continuación.
Tabla 2. Características del Mosfet CSD1950KCS. [9]
CARACTER STICA VALOR NOMINAL
Potencia disipada 188W
Tiempo de retardo al encendido 7ns
Tiempo de retardo al apagado 2ns
Para la implementación del interruptor no controlado, diodo, se tuvo en cuenta al igual que para la selección de Mosfet la característica de velocidad de conmutación y capacidad de corriente, por esto se adquirió el diodo schottky tipo transistor BYW29 (figura 29), cuyas características se pres entan en la tabla 3.
Tabla 3. Características nominales de diodo schottky BYW29. [10]
CARACTER STICA VALOR NOMINAL
 
8.2. Implementados en la Generación de la S eñal Rampa
Como se mencionó anteriormente la señal rampa provee la frecuencia de conm utación de convertidor reductor, esta frecuencia se estipulo en 500KHz, por ello se empleó el ampl ificador LMH6655 de la Texas Instruments (figura 30), este es un amplificador operacional de precis ión que opera hasta frecuencias de 250MHz. La implementación de este dispositivo es con el fin de dism inuir todas las pérdidas de conmutación posibles, logrando así un sistema más eficiente.
Figura 30. Amplificador operacional LMH6655. [8]
También se implementó en esta etapa del sistema de control el transistor 2N3904 (figura 31), es un transistor NPN, conectado en paralelo con el capacitor utilizado en el amplificador integrador siguiente, (ver figura 22). Permitiendo así en el momento que este se active con el pulso de estrada en la base, cortocircuitar el capacitor y llevar así nuevamente la rampa a cero, logrando con el tiempo de encendido y apagado del transistor la frecuencia requerida.
Figura 31. Transistor NPN 2N3904
8.3. Implementados en Controlador PI
Dado que en esta etapa no interviene la frecuencia de conmutación del convertidor Buck, se empleó el ampli ficador LM324 de montaje superficial (figura 32).
Figura 32. Amplificador LM324.
En la etapa modulador, en donde se compara la salida del controlar PI y la señal rampa, se emplea el amplificador operacional LMH6655, ya que en es ta etapa se compara a una frecuencia de 500KHz.
8.4. Implementados en el Driver del Mosfet
 
Figura 33. Dispositivo IR2112. [11]
Las características nominales de este dispositivo son las siguientes:
  Voffset: 600v max.
  Ton/off: 125 y 105ns
9. IMPLEMENTACIÓN
En conformidad con los resultados del proceso de diseño y la selección de los elementos a implementar, se realizó el montaje del sistema en su totalidad para realizar las pruebas respectivas y corrección de errores presentes en el proceso de diseño. Inicialmente este montaje se realizó en protoboard de manera que los ajustes que se realizaran fueran de una manera más s imple, luego de que se obtuvieron los valores deseados y las señale necesarias , se procedió a real izar el circuito impreso del sistema de control y del sistema de potencia (convertidor Buck).
Figura 34. PCB del sistema de control (PI+modulación)
Figura 35. Sistema de control implementado en baquela
Figura 36. PCB del sis tema de potencia (Buck+driver de Mosfet).
Figura 37. Sistema de potencia implementado en baquela
 
UNIVERSIDAD FRANCISCO DE PAULA SANTANDER   15 
El diseño de los circuitos impresos se realizó en el software Proteus, permitiendo la selección de las dimensiones adecuadas para los respectivos dispositivos.
10. RESULTADOS OBTENIDOS
Posterior a la implementación del compendio sistema de control mas s istema de potencia (figura 43), se realizó la conexión del sistema obteniendo las señales rampa, de control y el pulso de activación de transistor NPN 2N3904.
Estas señales contienen las mismas características obtenidas en simulación, como lo son la frecuencia de conmutación y los niveles de voltaje adecuados en cada una de las etapas.
Figura 40. Señal para la activación del transistor 2n3904.
Figura 41. Señal rampa vista en el osciloscopio.
Figura 42. Señal de control PWM  
 
UNIVERSIDAD FRANCISCO DE PAULA SANTANDER   16 
 Al realizar pruebas a condiciones de carga variable y a voltajes de entrada variable, se obtuvieron los datos contenidos en las tablas 4, 5, 6.
Tabla 4. Con entrada de 30V
Carga () 
Corriente de
entrada (A)
Potenc ia de salida
(W) 15 1.61 1.84 24 48.3 44.16 7.5 3.56 4.36 24 106.8 104.64 5 4,91 5.86 24 147,3 140.64
3.75 7.1 8.2 24 213 196.8
Tabla 5. Con entrada de 35V
Carga () 
Corriente de
entrada (A)
Potenc ia de salida
(W) 15 1.42 1.85 24 49.7 44.4 7.5 3.31 4.41 24 115.85 105.84 5 4.41 5.84 24 154.35 140.16
3.75 6.13 8.42 24 214.55 202.08
Tabla 6. Con entrada de 40V
Carga () 
Corriente de
entrada (A)
Potenc ia de salida
(W) 15 1.25 1.84 24 50 44.16 7.5 2.82 4.41 24 112.8 105.84 5 3.83 5.91 24 153.2 141.84
3.75 5.43 8.45 24 217.2 202.8
La figura siguiente muestra la señal de salida del convertidor DC/DC a una al imentación de 35V con carga de 5. 
Figura 44. Nivel DC a la salida del convertidor Buck
En la figura se observa que la señal tiene un rizado muy pequeño, inferior al 2% que nos exige los parámetros de diseño.
11. CONCLUSIONES
  Se obtuvo el modelo matemático del sistema de potencia en modo continuo, llevando este al software Matlab, en donde se determinó que el controlador más idóneo para estabilizar el sistema es un controlador PI, permitiéndonos a la salida del sistema tener un error en estado estable igual a cero y un tiempo de estabilización corto, además overshoot no mayores al 15%.
  Se hizo importante el aislamiento entre la tierra del control de la tierra del convertidor Buck, ya que el terminal fuente del Mosfet no está conectado a la tierra del Buck, mientras todo el sistema de control iniciando por la realim entación están referenciados a la tierra del Buck. En caso de no tener este aislam iento se necesitaría que el nivel de voltaje en la señal de control fuera mucho mayor que el voltaje de alimentación del convertidor Buck, haciendo más complejo y menos practico esto proceso.
  se observó a través de la práctica que al aumentar el ciclo útil de la señal de control por encima del 80%, hace que el s istema se vuelva ines table, ya que nos estaríamos acercando a voltajes continuos, teniendo en cuenta que el periodo de esta señal es de 2us.
  Debido a la frecuencia de conmutación (500KHz) a la que opera sistema se hizo necesario la implementación de convertidores operacionales que operan por encima de esta frecuencia, por ello se implementó el dispositivo LMH6655.
  La misma dinámica del controlador PI hace, que cuando el sistema está apagado y se encienda envíen al Mosfet una señal de control con un ciclo útil del 100%, haciendo que este no encienda. Por esto se sumó un nivel dc a la señal de salida del PI, para que cuando se compare con la señal rampa en el instante en que se enciende el sistema no genere una señal de control con un ciclo útil no mayor al 80%, garantizando as í la operatividad del s istema.
 
UNIVERSIDAD FRANCISCO DE PAULA SANTANDER   17 
inductivo, haciendo a su vez que el sis tema en físico sea menos robusto.
  La implementación del software Proteus para la realización de las simulaciones del s istema de control y de potencia, permitió un anális is continuo respecto a lo que se pretendía alcanzar al culm inar el proyecto, además de perm itirnos observar el comportamiento de cada una de las etapas casi que de una forma real.
 A su vez el software Matlab nos permitió evaluar la dinámica del sis tema con y sin la implementación del controlador, además de proporcionarnos las constantes integrativas y derivativas necesarias en el diseño del controlador.
  Al implementar y realizar las pruebas finales dentro del compendio sistema de control y sistema de potencia, se logró alcanzar los requerimientos de diseño, además de un óptimo funcionamiento de convertidor Buck.
  Al realizar la pruebas a condiciones de carga variable y voltaje de es trada variable, se observó que el error en estado estable es de cero, a la salida del convertidor DC/DC, además de que este control presenta una respues ta ante perturbaciones bastante rápida.
12. REFERENCIAS
[1]. Gallego G. E., ’Dispositivo y circuitos de electrónica de potencia’, universidad nacional experimental del Táchira, 1997.
[2]. Gallego G. E., ‘elementos de electrónica de potencia’, universidad francisco de Paula Santander, versión 2014.
[3]. “Pow er Electronics: Converters, Applications and Design”, Mohan, Undeland y Robbins. 
[4]. “Eletrónica de Potência”, J. A. Pomilio, Universidade Estadual de Campinas, SP - Brasil.
[5]. BLANES, Jose. SIRVET, Ausias. CARRASCO, Jose, A. Electrónica de potencia, escuela politécnica superior de elche
[6]. Electrónica de Potencia”, D. W. Hart, Valparaíso University, Valparaíso Indiana. Prentice Hall.
[7]. Salas P., Campos I., Rodriguez J., Ramos A., convertidores CD-CD, universidad autónoma de san Luis potosí.
[8]. Texas instrument, Datasheet amplificador LMH6655. http://ww w .ti.com/lit/ds/symlink/lmh6655.pdf
[9]. Texas instrument, Datasheet Mosfet CSD1950KCS. 
http://ww w .ti.com/lit/ds/symlink/csd19503kcs.pdf [10]. On-Semiconductor. Datasheet diodo schottky
BYW29http://w w w .onsemi.com/pub_link/Collateral/BY W29-D.PDF.
[11]. International rectifier. Datasheet IR2112.  http://ww w .irf.com/product- info/datasheets/data/ir2112.pdf