Hoja de respuestas - editoriald · PDF fileHoja de respuestas Actividad integradora -...

download Hoja de respuestas - editoriald · PDF fileHoja de respuestas Actividad integradora - Capítulo 1 Pregunta 1: Codifique en VHDL un circuito encoder de 8 a 3. Utilice solamente funciones

If you can't read please download the document

Transcript of Hoja de respuestas - editoriald · PDF fileHoja de respuestas Actividad integradora -...

  • Diseo de Sistemas Digitales a travs de Diseos Esquemticos y VHDL Norma Frida Roffe Samaniego

    1 D.R. Ins t i tu to Tecno lg ico y de Es tud ios Super io res de Monter rey , Mx ico 201 2

    Hoja de respuestas

    Actividad integradora - Captulo 1

    Pregunta 1:

    Codifique en VHDL un circuito encoder de 8 a 3. Utilice solamente funciones booleanas. El

    encoder debe operar de la siguiente manera:

    Se definir un componente que cuenta con 8 entradas (D_IN) y 3 salidas (D_OUT).

    Suponga que solo una de las entradas que se den a D_IN es 1. La salida reflejar cul de

    las entradas, de la 7 a la 0, es 1.

    Resumiendo la operacin de este dispositivo, se tiene el siguiente comportamiento de la

    salida de acuerdo a la entrada.

    D_IN D_OUT

    00000001 000

    00000010 001

    00000100 010

    00001000 011

    00010000 100

    00100000 101

    01000000 110

    10000000 111

    Suponga que a la entrada del dispositivo siempre presenta una combinacin vlida. Al

    disear no tome en cuenta las combinaciones invlidas, puede emitir cualquier combinacin

    que usted elija ante una entrada invlida (garbage in, garbage out).

  • Diseo de Sistemas Digitales a travs de Diseos Esquemticos y VHDL Norma Frida Roffe Samaniego

    2 D.R. Ins t i tu to Tecno lg ico y de Es tud ios Super io res de Monter rey , Mx ico 201 2

    Utilice la siguiente definicin para el puerto del encoder:

    entity encoder is

    Port (D_IN: in std_logic_VECTOR(7 downto 0);

    D_OUT: out std_logic_VECTOR(2 downto 0));

    end encoder;

    Respuesta:

    entity encoder is

    Port (D_IN: in BIT_VECTOR(7 downto 0);

    D_OUT: out BIT_VECTOR(2 downto 0));

    end encoder;

    architecture ecuaciones of encoder is

    begin

    D_OUT(2)

  • Diseo de Sistemas Digitales a travs de Diseos Esquemticos y VHDL Norma Frida Roffe Samaniego

    3 D.R. Ins t i tu to Tecno lg ico y de Es tud ios Super io res de Monter rey , Mx ico 201 2

    decir que funcionar a su velocidad baja, esto siempre y cuando la bomba se encuentre

    encendida. El lquido en el tanque se gasta debido a un proceso industrial externo al tanque.

    Se desea que haga un control sencillo que accione la bomba de salida cuando el nivel de

    agua est por encima del nivel deseado, a velocidad baja si el nivel es H y a velocidad alta si

    es VH. Asimismo, debe activar la bomba de entrada a velocidad baja si el nivel es L y a

    velocidad alta si es VL. Si el nivel est en el rango deseado, ambas bombas deben estar

    apagadas. Adicionalmente, debe generar una seal de error si la salida del sensor es

    invlida. Esta seal se usa para proteger a las bombas y evitar que se daen. Considere que

    el error ocurre si ambos encendidos estn en 1. Aunque la velocidad en cualquiera de las

    bombas est en 1 no ejerce accin si la bomba est apagada.

    El puerto se muestra en la figura 1.24.

    Defina en VHDL la arquitectura en el espacio que se dej para ello. No es necesario

    presentar el diseo esquemtico de este circuito combinacional.

  • Diseo de Sistemas Digitales a travs de Diseos Esquemticos y VHDL Norma Frida Roffe Samaniego

    4 D.R. Ins t i tu to Tecno lg ico y de Es tud ios Super io res de Monter rey , Mx ico 201 2

    Respuesta:

    Entity tanque is

    Port (L, VL, O, H, VH: in std_logic;

    Error, Ein, Vin, Eout, Vout: out std_logic);

    End tanque;

    Architecture cualquiera of tanque is

    Begin

    Ein

  • Diseo de Sistemas Digitales a travs de Diseos Esquemticos y VHDL Norma Frida Roffe Samaniego

    5 D.R. Ins t i tu to Tecno lg ico y de Es tud ios Super io res de Monter rey , Mx ico 201 2

    La figura 1.25 muestra las entradas y salidas de este problema.

    Figura 1.25. Puerto del juego de la adivinanza

    El puerto se muestra a continuacin. Defina en VHDL la arquitectura en el espacio que se

    dej para ello. No es necesario presentar el diseo esquemtico de este circuito

    combinacional.

    Respuesta:

    Entity JUEGO is

    Port ( X1, X2, Y1, Y2: in std_logic;

    F: out std_logic);

    End JUEGO;

    Architecture cualquiera of JUEGO is

    Begin

    F

  • Diseo de Sistemas Digitales a travs de Diseos Esquemticos y VHDL Norma Frida Roffe Samaniego

    6 D.R. Ins t i tu to Tecno lg ico y de Es tud ios Super io res de Monter rey , Mx ico 201 2

    Pregunta 4:

    En el siguiente conjunto de circuitos: A, B, C, representan un nmero binario (figura 1.26).

    Indiqu qu operacin aritmtica realizan al generar f3, f2, f1, f0, que a su vez es otro

    nmero binario.

    Figura 1.26. Circuito aritmtico

    Respuesta:

    Si N=A,B,C y F=f3,f2,f1,f0 entonces F=N+2

    Retroalimentacion:

    Para encontrar la respuesta debe construir una tabla de verdad con las entradas A,B,C y las

    salidas f3,f2,f1,f0 y observar que para combinacin de entrada, la salida es el nmero que

    represente la entrada aumentado en dos.

    Pregunta 5:

    Un automvil tiene un sistema de seguridad basado en varios sensores, los cuales se

    enlistan enseguida.

    1. Sensor de llave (K): genera un 1 cuando est puesta la llave, 0 de otro modo.

    f

    3

    f

    2

    f

    1

    f

    0

  • Diseo de Sistemas Digitales a travs de Diseos Esquemticos y VHDL Norma Frida Roffe Samaniego

    7 D.R. Ins t i tu to Tecno lg ico y de Es tud ios Super io res de Monter rey , Mx ico 201 2

    2. Dos sensores (D) colocados uno en cada una de las dos puertas que indican con

    1 si la puerta est cerrada, 0 si est abierta.

    3. Cuatro sensores (T) colocados uno en cada una de las cuatro llantas que indican

    con 1 si la llanta tiene una presin diferente a 28 lbs, 0 si es igual a 28 lbs.

    4. Un sensor colocado en el pedal de freno (B), que indica con 1 si est presionado,

    0 si no lo est.

    Adems hay un interruptor (S) que puede utilizar el conductor para que no se tomen

    en cuenta los sensores descritos en 3. Si el interruptor se cierra, genera un 1 y esto indica

    que no se deben tomar en cuenta los sensores de llantas; si se deja abierto, genera un 0 e

    indica que se tome en cuenta la presin de las llantas.

    Se le solicita disear, en VHDL, las funciones necesarias para generar las salidas que

    irn conectadas a las siguientes alarmas:

    Alarma 1 de llantas: toma el valor de 1 cuando alguna de las llantas tienen una

    presin diferente de 28 lbs, 0 de otro modo.

    Alarma 2 de puertas: toma el valor de 1 cuando alguna de las puertas est abierta, 0

    de otro modo.

    Alarma general: se activa cuando hay alguna alarma encendida.

    Disee una salida (Out1) que se conectar al circuito de encendido del carro, se

    debe generar 1 para que este encienda, esto debe ocurrir cuando:

  • Diseo de Sistemas Digitales a travs de Diseos Esquemticos y VHDL Norma Frida Roffe Samaniego

    8 D.R. Ins t i tu to Tecno lg ico y de Es tud ios Super io res de Monter rey , Mx ico 201 2

    1. Est la llave puesta.

    2. Estn las dos puertas cerradas.

    3. Estn las cuatro llantas a 28 lbs o el interruptor est cerrado.

    4. Est presionado el pedal de freno.

    Respuesta:

    Entity automovil is

    Port (K, D1,D2, T1,T2,T3,T4, B, S: in std_logic;

    A1, A2, Out1: out std_logic);

    end automovil;

    architecture ecuaciones of automovil is

    begin

    Aux1