Memoria RAM

33
PDF generado usando el kit de herramientas de fuente abierta mwlib. Ver http://code.pediapress.com/ para mayor información. PDF generated at: Tue, 23 Apr 2013 12:59:41 UTC Memoria RAM Tecnologías en almacenamiento primario

Transcript of Memoria RAM

Page 1: Memoria RAM

PDF generado usando el kit de herramientas de fuente abierta mwlib. Ver http://code.pediapress.com/ para mayor información.PDF generated at: Tue, 23 Apr 2013 12:59:41 UTC

Memoria RAMTecnologías en almacenamiento primario

Page 2: Memoria RAM

ContenidosArtículos

Memoria de acceso aleatorio 1DIMM 8SDRAM 10DDR SDRAM 20DDR2 SDRAM 22DDR3 SDRAM 25DDR4 SDRAM 27

ReferenciasFuentes y contribuyentes del artículo 29Fuentes de imagen, Licencias y contribuyentes 30

Licencias de artículosLicencia 31

Page 3: Memoria RAM

Memoria de acceso aleatorio 1

Memoria de acceso aleatorio

DIMM normal y corriente de memoria RAM tipo DDR3 de 240 contactos.

La memoria de acceso aleatorio (eninglés: random-access memory) seutiliza como memoria de trabajo parael sistema operativo, los programas yla mayoría del software. Es allí dondese cargan todas las instrucciones queejecutan el procesador y otras unidadesde cómputo. Se denominan «de accesoaleatorio» porque se puede leer oescribir en una posición de memoriacon un tiempo de espera igual paracualquier posición, no siendo necesario seguir un orden para acceder a la información de la manera más rápidaposible. Durante el encendido del computador, la rutina POST verifica que los módulos de memoria RAM esténconectados de manera correcta. En el caso que no existan o no se detecten los módulos, la mayoría de tarjetas madresemiten una serie de pitidos que indican la ausencia de memoria principal. Terminado ese proceso, la memoria BIOSpuede realizar un test básico sobre la memoria RAM indicando fallos mayores en la misma.

NomenclaturaLa expresión memoria RAM se utiliza frecuentemente para describir a los módulos de memoria utilizados en loscomputadores personales y servidores. En el sentido estricto, esta memoria es solo una variedad de la memoria deacceso aleatorio: las ROM, memorias Flash, caché (SRAM), los registros en procesadores y otras unidades deprocesamiento también poseen la cualidad de presentar retardos de acceso iguales para cualquier posición. Losmódulos de RAM son la presentación comercial de este tipo de memoria, que se compone de circuitos integradossoldados sobre un circuito impreso independiente, en otros dispositivos como las consolas de videojuegos, la RAMva soldada directamente sobre la placa principal.

Page 4: Memoria RAM

Memoria de acceso aleatorio 2

Historia

Integrado de silicio de 64 bits sobre un sector de memoria de núcleo magnético(finales de los 60).

4MiB de memoria RAM para un computador VAX de finales de los 70. Losintegrados de memoria DRAM están agrupados arriba a derecha e izquierda.

Módulos de memoria tipo SIPP instalados directamente sobre la placa base.

Uno de los primeros tipos de memoria RAMfue la memoria de núcleo magnético,desarrollada entre 1949 y 1952 y usada enmuchos computadores hasta el desarrollo decircuitos integrados a finales de los años 60 yprincipios de los 70. Esa memoria requería quecada bit estuviera almacenado en un toroide dematerial ferromágnetico de algunos milímetrosde diámetro, lo que resultaba en dispositivoscon una capacidad de memoria muy pequeña.Antes que eso, las computadoras usaban relésy líneas de retardo de varios tipos construidaspara implementar las funciones de memoriaprincipal con o sin acceso aleatorio.

En 1969 fueron lanzadas una de las primerasmemorias RAM basadas en semiconductoresde silicio por parte de Intel con el integrado3101 de 64 bits de memoria y para el siguienteaño se presentó una memoria DRAM de 1024bytes, referencia 1103 que se constituyó en unhito, ya que fue la primera en sercomercializada con éxito, lo que significó elprincipio del fin para las memorias de núcleomagnético. En comparación con los integradosde memoria DRAM actuales, la 1103 esprimitiva en varios aspectos, pero tenía undesempeño mayor que la memoria de núcleos.

En 1973 se presentó una innovación quepermitió otra miniaturización y se convirtió enestándar para las memorias DRAM: lamultiplexación en tiempo de la direcciones dememoria. MOSTEK lanzó la referenciaMK4096 de 4096 bytes en un empaque de 16pines,[1] mientras sus competidores lasfabricaban en el empaque DIP de 22 pines. Elesquema de direccionamiento[2] se convirtióen un estándar de facto debido a la granpopularidad que logró esta referencia deDRAM. Para finales de los 70 los integradoseran usados en la mayoría de computadoresnuevos, se soldaban directamente a las placasbase o se instalaban en zócalos, de manera queocupaban un área extensa de circuito impreso. Con el tiempo se hizo obvio que la instalación de RAM sobre el

Page 5: Memoria RAM

Memoria de acceso aleatorio 3

impreso principal, impedía la miniaturización , entonces se idearon los primeros módulos de memoria como el SIPP,aprovechando las ventajas de la construcción modular. El formato SIMM fue una mejora al anterior, eliminando lospines metálicos y dejando unas áreas de cobre en uno de los bordes del impreso, muy similares a los de las tarjetas deexpansión, de hecho los módulos SIPP y los primeros SIMM tienen la misma distribución de pines.A finales de los 80 el aumento en la velocidad de los procesadores y el aumento en el ancho de banda requerido,dejaron rezagadas a las memorias DRAM con el esquema original MOSTEK, de manera que se realizaron una seriede mejoras en el direccionamiento como las siguientes:

Módulos formato SIMM de 30 y 72 pines, los últimosfueron utilizados con integrados tipo EDO-RAM.

•• FPM-RAM (Fast Page Mode RAM)

Inspirado en técnicas como el "Burst Mode" usado enprocesadores como el Intel 486,[3] se implantó un mododireccionamiento en el que el controlador de memoria envía unasola dirección y recibe a cambio esa y varias consecutivas sinnecesidad de generar todas las direcciones. Esto supone un ahorrode tiempos ya que ciertas operaciones son repetitivas cuando sedesea acceder a muchas posiciones consecutivas. Funciona comosi deseáramos visitar todas las casas en una calle: después de laprimera vez no seria necesario decir el número de la calleúnicamente seguir la misma. Se fabricaban con tiempos de accesode 70 ó 60 ns y fueron muy populares en sistemas basados en el486 y los primeros Pentium.

•• EDO-RAM (Extended Data Output RAM)

Lanzada en 1995 y con tiempos de accesos de 40 o 30 ns suponíauna mejora sobre su antecesora la FPM. La EDO, también es capaz de enviar direcciones contiguas pero direccionala columna que va utilizar mientras que se lee la información de la columna anterior, dando como resultado unaeliminación de estados de espera, manteniendo activo el búffer de salida hasta que comienza el próximo ciclo delectura.

•• BEDO-RAM (Burst Extended Data Output RAM)

Fue la evolución de la EDO RAM y competidora de la SDRAM, fue presentada en 1997. Era un tipo de memoriaque usaba generadores internos de direcciones y accedía a más de una posición de memoria en cada ciclo de reloj, demanera que lograba un desempeño un 50% mejor que la EDO. Nunca salió al mercado, dado que Intel y otrosfabricantes se decidieron por esquemas de memoria sincrónicos que si bien tenían mucho del direccionamientoMOSTEK, agregan funcionalidades distintas como señales de reloj.

Tecnologías de memoriaLa tecnología de memoria actual usa una señal de sincronización para realizar las funciones de lectura-escritura demanera que siempre esta sincronizada con un reloj del bus de memoria, a diferencia de las antiguas memorias FPM yEDO que eran asíncronas. Hace más de una década toda la industria se decantó por las tecnologías síncronas, ya quepermiten construir integrados que funcionen a una frecuencia superior a 66 MHz.Tipos de DIMMs según su cantidad de Contactos o Pines:• 72-pin SO-DIMM (no el mismo que un 72-pin SIMM), usados por FPM DRAM y EDO DRAM• 100-pin DIMM, usados por printer SDRAM• 144-pin SO-DIMM, usados por SDR SDRAM•• 168-pin DIMM, usados por SDR SDRAM (menos frecuente para FPM/EDO DRAM en áreas de trabajo y/o

servidores)• 172-pin MicroDIMM, usados por DDR SDRAM

Page 6: Memoria RAM

Memoria de acceso aleatorio 4

•• 184-pin DIMM, usados por DDR SDRAM• 200-pin SO-DIMM, usados por DDR SDRAM y DDR2 SDRAM• 204-pin SO-DIMM, usados por DDR3 SDRAM• 240-pin DIMM, usados por DDR2 SDRAM, DDR3 SDRAM y FB-DIMM DRAM•• 244-pin MiniDIMM, usados por DDR2 SDRAM

Memorias RAM con tecnologías usadas en la actualidad.

SDR SDRAM

Memoria síncrona, con tiempos de accesode entre 25 y 10 ns y que se presentan enmódulos DIMM de 168 contactos. Fueutilizada en los Pentium II y en los PentiumIII , así como en los AMD K6, AMD AthlonK7 y Duron. Está muy extendida la creenciade que se llama SDRAM a secas, y que ladenominación SDR SDRAM es paradiferenciarla de la memoria DDR, pero noes así, simplemente se extendió muy rápidola denominación incorrecta. El nombrecorrecto es SDR SDRAM ya que ambas (tanto la SDR como la DDR) son memorias síncronas dinámicas. Los tiposdisponibles son:

•• PC66: SDR SDRAM, funciona a un máx de 66,6 MHz.•• PC100: SDR SDRAM, funciona a un máx de 100 MHz.•• PC133: SDR SDRAM, funciona a un máx de 133,3 MHz.

RDRAMSe presentan en módulos RIMM de 184 contactos. Fue utilizada en los Pentium IV . Era la memoria más rápida ensu tiempo, pero por su elevado costo fue rápidamente cambiada por la económica DDR. Los tipos disponibles son:•• PC600: RIMM RDRAM, funciona a un máximo de 300 MHz.•• PC700: RIMM RDRAM, funciona a un máximo de 356 MHz.•• PC800: RIMM RDRAM, funciona a un máximo de 400 MHz.•• PC1066: RIMM RDRAM, funciona a un máximo de 533 MHz.

DDR SDRAMMemoria síncrona, envía los datos dos veces por cada ciclo de reloj. De este modo trabaja al doble de velocidad delbus del sistema, sin necesidad de aumentar la frecuencia de reloj. Se presenta en módulos DIMM de 184 contactosen el caso de ordenador de escritorio y en módulos de 144 contactos para los ordenadores portátiles. Los tiposdisponibles son:•• PC1600 o DDR 200: funciona a un máx de 200 MHz.•• PC2100 o DDR 266: funciona a un máx de 266,6 MHz.•• PC2700 o DDR 333: funciona a un máx de 333,3 MHz.•• PC3200 o DDR 400: funciona a un máx de 400 MHz.•• PC4500 o DR4 400: funciona a una máx de 500 MHz

Page 7: Memoria RAM

Memoria de acceso aleatorio 5

DDR2 SDRAM

Módulos de memoria instalados de 256 MiB cada uno en un sistema con doblecanal.

Las memorias DDR 2 son una mejora de lasmemorias DDR (Double Data Rate), quepermiten que los búferes de entrada/salidatrabajen al doble de la frecuencia del núcleo,permitiendo que durante cada ciclo de reloj serealicen cuatro transferencias. Se presentan enmódulos DIMM de 240 contactos. Los tiposdisponibles son:

•• PC2-4200 o DDR2-533: funciona a un máxde 533,3 MHz.

•• PC2-5300 o DDR2-667: funciona a un máxde 666,6 MHz.

•• PC2-6400 o DDR2-800: funciona a un máxde 800 MHz.

•• PC2-8600 o DDR2-1066: funciona a unmáx de 1066,6 MHz.

•• PC2-9000 o DDR2-1200: funciona a un máx de 1200 MHz

DDR3 SDRAMLas memorias DDR 3 son una mejora de las memorias DDR 2, proporcionan significantes mejoras en el rendimientoen niveles de bajo voltaje, lo que lleva consigo una disminución del gasto global de consumo. Los módulos DIMMDDR 3 tienen 240 pines, el mismo número que DDR 2; sin embargo, los DIMMs son físicamente incompatibles,debido a una ubicación diferente de la muesca. Los tipos disponibles son:•• PC3-6400 o DDR3-800: funciona a un máx de 800 MHz.•• PC3-8500 o DDR3-1066: funciona a un máx de 1066,6 MHz.•• PC3-10600 o DDR3-1333: funciona a un máx de 1333,3 MHz.•• PC3-12800 o DDR3-1600: funciona a un máx de 1600 MHz.•• PC3-14900 o DDR3-1866: funciona a un máx de 1866,6 MHz.•• PC3-17000 o DDR3-2133: funciona a un máx de 2133,3 MHz.•• PC3-19200 o DDR3-2400: funciona a un máx de 2400 MHz.•• PC3-21300 o DD3-2666: funciona a un máx de 2666,6 MHz.

Page 8: Memoria RAM

Memoria de acceso aleatorio 6

Módulos de la memoria RAM

Formato SO-DIMM.

Los módulos de memoria RAM son tarjetas de circuito impresoque tienen soldados integrados de memoria DRAM por una oambas caras. La implementación DRAM se basa en una topologíade Circuito eléctrico que permite alcanzar densidades altas dememoria por cantidad de transistores, logrando integrados decientos o miles de megabits. Además de DRAM, los módulosposeen un integrado que permiten la identificación de los mismosante el computador por medio del protocolo de comunicaciónSPD.

La conexión con los demás componentes se realiza por medio deun área de pines en uno de los filos del circuito impreso, quepermiten que el modulo al ser instalado en un zócalo apropiado dela placa base, tenga buen contacto eléctrico con los controladoresde memoria y las fuentes de alimentación. Los primeros módulos comerciales de memoria eran SIPP de formatopropietario, es decir no había un estándar entre distintas marcas. Otros módulos propietarios bastante conocidosfueron los RIMM, ideados por la empresa RAMBUS.

La necesidad de hacer intercambiable los módulos y de utilizar integrados de distintos fabricantes condujo alestablecimiento de estándares de la industria como los JEDEC.• Módulos SIMM: Formato usado en computadores antiguos. Tenían un bus de datos de 16 ó 32 bits• Módulos DIMM: Usado en computadores de escritorio. Se caracterizan por tener un bus de datos de 64 bits.• Módulos SO-DIMM: Usado en computadores portátiles. Formato miniaturizado de DIMM.

Relación con el resto del sistema

Diagrama de laarquitectura de un

ordenador.

Dentro de la jerarquía de memoria la RAM se encuentra en un nivel después de losregistros del procesador y de las cachés en cuanto a velocidad. Los módulos de memoria seconectan eléctricamente a un controlador de memoria que gestiona las señales entrantes ysalientes de los integrados DRAM. Las señales son de tres tipos: direccionamiento, datos yseñales de control. En el módulo de memoria esas señales están divididas en dos buses y unconjunto misceláneo de líneas de control y alimentación, Entre todas forman el bus dememoria que conecta la RAM con su controlador:

• Bus de datos: Son las líneas que llevan información entre los integrados y elcontrolador. Por lo general están agrupados en octetos siendo de 8,16,32 y 64 bits,cantidad que debe igualar el ancho del bus de datos del procesador. En el pasado,algunos formatos de modulo, no tenían un ancho de bus igual al del procesador.En esecaso había que montar módulos en pares o en situaciones extremas, de a 4 módulos,para completar lo que se denominaba banco de memoria, de otro modo el sistema no funciona. Esa fue laprincipal razón para aumentar el número de pines en los módulos, igualando al ancho de bus de procesadorescomo el Pentium a 64 bits, a principios de los 90.

• Bus de direcciones: Es un bus en el cual se colocan las direcciones de memoria a las que se requiere acceder. Noes igual al bus de direcciones del resto del sistema, ya que está multiplexado de manera que la dirección se envíaen dos etapas.Para ello el controlador realiza temporizaciones y usa las líneas de control. En cada estándar demódulo se establece un tamaño máximo en bits de este bus, estableciendo un límite teórico de la capacidadmáxima por módulo.

Page 9: Memoria RAM

Memoria de acceso aleatorio 7

• Señales misceláneas: Entre las que están las de la alimentación (Vdd, Vss) que se encargan de entregar potenciaa los integrados. Están las líneas de comunicación para el integrado de presencia que sirve para identificar cadamódulo. Están las líneas de control entre las que se encuentran las llamadas RAS (row address strobe) y CAS(column address strobe) que controlan el bus de direcciones, por último están las señales de reloj en las memoriassincrónicas SDRAM.

Algunos controladores de memoria en sistemas como PC y servidores se encuentran embebidos en el llamado "NorthBridge" o "Puente Norte" de la placa base. Otros sistemas incluyen el controlador dentro del mismo procesador (enel caso de los procesadores desde AMD Athlon 64 e Intel Core i7 y posteriores). En la mayoría de los casos el tipode memoria que puede manejar el sistema está limitado por los sockets para RAM instalados en la placa base, a pesarque los controladores de memoria en muchos casos son capaces de conectarse con tecnologías de memoria distintas.Una característica especial de algunos controladores de memoria, es el manejo de la tecnología canal doble (DualChannel), donde el controlador maneja bancos de memoria de 128 bits, siendo capaz de entregar los datos de maneraintercalada, optando por uno u otro canal, reduciendo las latencias vistas por el procesador. La mejora en eldesempeño es variable y depende de la configuración y uso del equipo. Esta característica ha promovido lamodificación de los controladores de memoria, resultando en la aparición de nuevos chipsets (la serie 865 y 875 deIntel) o de nuevos zócalos de procesador en los AMD (el 939 con canal doble , reemplazo el 754 de canal sencillo).Los equipos de gama media y alta por lo general se fabrican basados en chipsets o zócalos que soportan doble canalo superior, como en el caso del zócalo (o socket, en inglés) 1366 de Intel, que usaba un triple canal de memoria, o sunuevo LGA 2011 que usa cuádruple canal.

Detección y corrección de erroresExisten dos clases de errores en los sistemas de memoria, las fallas (Hard fails) que son daños en el hardware y loserrores (soft errors) provocados por causas fortuitas. Los primeros son relativamente fáciles de detectar (en algunascondiciones el diagnóstico es equivocado), los segundos al ser resultado de eventos aleatorios, son más difíciles dehallar. En la actualidad la confiabilidad de las memorias RAM frente a los errores, es suficientemente alta como parano realizar verificación sobre los datos almacenados, por lo menos para aplicaciones de oficina y caseras. En los usosmás críticos, se aplican técnicas de corrección y detección de errores basadas en diferentes estrategias:• La técnica del bit de paridad consiste en guardar un bit adicional por cada byte de datos y en la lectura se

comprueba si el número de unos es par (paridad par) o impar (paridad impar), detectándose así el error.• Una técnica mejor es la que usa ECC, que permite detectar errores de 1 a 4 bits y corregir errores que afecten a un

sólo bit. Esta técnica se usa sólo en sistemas que requieren alta fiabilidad.Por lo general los sistemas con cualquier tipo de protección contra errores tiene un costo más alto, y sufren depequeñas penalizaciones en desempeño, con respecto a los sistemas sin protección. Para tener un sistema con ECC oparidad, el chipset y las memorias deben tener soporte para esas tecnologías. La mayoría de placas base no poseendicho soporte.Para los fallos de memoria se pueden utilizar herramientas de software especializadas que realizan pruebas sobre losmódulos de memoria RAM. Entre estos programas uno de los más conocidos es la aplicación Memtest86+ quedetecta fallos de memoria.

Memoria RAM registradaEs un tipo de módulo usado frecuentemente en servidores, posee circuitos integrados que se encargan de repetir las señales de control y direcciones: las señales de reloj son reconstruidas con ayuda del PLL que está ubicado en el módulo mismo. Las señales de datos se conectan de la misma forma que en los módulos no registrados: de manera directa entre los integrados de memoria y el controlador. Los sistemas con memoria registrada permiten conectar más módulos de memoria y de una capacidad más alta, sin que haya perturbaciones en las señales del controlador de

Page 10: Memoria RAM

Memoria de acceso aleatorio 8

memoria, permitiendo el manejo de grandes cantidades de memoria RAM. Entre las desventajas de los sistemas dememoria registrada están el hecho de que se agrega un ciclo de retardo para cada solicitud de acceso a una posiciónno consecutiva y un precio más alto que los módulos no registrados. La memoria registrada es incompatible con loscontroladores de memoria que no soportan el modo registrado, a pesar de que se pueden instalar físicamente en elzócalo. Se pueden reconocer visualmente porque tienen un integrado mediano, cerca del centro geométrico delcircuito impreso, además de que estos módulos suelen ser algo más altos.[4]

Durante el año 2006 varias marcas lanzaron al mercado sistemas con memoria FB-DIMM que en su momento sepensaron como los sucesores de la memoria registrada, pero se abandono esa tecnología en 2007 dado que ofrecíapocas ventajas sobre el diseño tradicional de memoria registrada y los nuevos modelos con memoria DDR3.[5]

Referencias[4] http:/ / download. micron. com/ pdf/ datasheets/ modules/ ddr2/ HTJ_S36C512_1Gx72. pdf[5] http:/ / www. theinquirer. net/ inquirer/ news/ 1014319/ fb-dimm-dead-rddr3-king

DIMM

Módulos de memoria SDRAM en formato DIMM(dos módulos DIMM SDR SDRAM PC133).

Los DIMM (sigla en inglés de dual in-line memory module, traducidocomo «módulo de memoria en línea doble») son módulos de memoriaRAM utilizados en las computadoras personales. Se trata de unpequeño circuito impreso que contiene circuitos integrados dememoria, y se conecta directamente en ranuras de la placa base. Losmódulos DIMM son reconocibles externamente por poseer suscontactos (o pines) separados en ambos lados, a diferencia de losSIMM que poseen los contactos de modo que los de un lado estánunidos con los del otro.

Los módulos DIMM comenzaron a reemplazar a los SIMM como eltipo predominante de memoria cuando los microprocesadores IntelPentium tomaron dominio del mercado.

Un DIMM puede comunicarse con la caché a 64 bits (y algunos a 72 bits), a diferencia de los 32 bits de los SIMM.El hecho de que los módulos en formato DIMM sean memorias de 64 bits, explica por qué no necesitanemparejamiento. Los módulos DIMM poseen circuitos de memoria en ambos lados de la placa de circuito impresa, yposeen a la vez, 84 contactos de cada lado, lo cual suma un total de 168 contactos. Además de ser de mayoresdimensiones que los módulos SIMM (130x25 mm), estos módulos poseen una segunda muesca que evitaconfusiones.Cabe observar que los conectores DIMM han sido mejorados para facilitar su inserción, gracias a las palancasubicadas a ambos lados de cada conector.También existen módulos más pequeños, conocidos como SO DIMM (DIMM de contorno pequeño), diseñados paracomputadoras portátiles. Los módulos SO DIMM sólo cuentan con 144 contactos en el caso de las memorias de 64bits, y con 77 contactos en el caso de las memorias de 32 bits.

Page 11: Memoria RAM

DIMM 9

Especificación de los módulos DIMM• DIMM de 168 contactos, SDR SDRAM (tipos: PC66, PC100, PC133...).• DIMM de 184 contactos, DDR SDRAM (tipos: PC1600 (DDR-200), PC2100 (DDR-266), PC2400 (DDR-300),

PC2700 (DDR-333), PC3000 (DDR-366), PC3200 (DDR-400), PC3500 (DDR-433), PC3700 (DDR-466),PC4000 (DDR-500), PC4300 (DDR-533), PC4800 (DDR-600); hasta 1 GiB por módulo).

• DIMM de 240 contactos, DDR2 SDRAM (tipos: PC2-3200 (DDR2-400), PC2-3700 (DDR2-466), PC2-4200(DDR2-533), PC2-4800 (DDR2-600), PC2-5300 (DDR2-667), PC2-6400 (DDR2-800), PC2-8000 (DDR2-1000),PC2-8500 (DDR2-1066), PC2-9200 (DDR2-1150) y PC2-9600 (DDR2-1200); hasta 4 GiB por módulo).

• DIMM de 240 contactos, DDR3 SDRAM (tipos: PC3-6400 (DDR3-800), PC3-8500 (DDR3-1066), PC3-10.600(DDR3-1333), PC3-13.300 (DDR3-1666), PC3-14.400 (DDR3-1800), PC3-16.000 (DDR3-2000); hasta 4 GiBpor módulo).

Corrección de erroresLos ECC DIMM son aquellos que tienen un mayor número de bits de datos, los cuales son usados por loscontroladores del sistema de memoria para detectar y corregir errores. Hay multitud de esquemas ECC, pero quizásel más común es el Corrector de errores individuales-Detector de errores dobles (SECDED) que usa un byte extrapor cada palabra de 64 bits. Los módulos ECC están formados normalmente por múltiplos de 9 chips y no de 8 comoes lo más usual.

OrganizaciónLa mayoría de módulos DIMM se construyen usando "x4" (de 4) los chips de memoria o "x8" (de 8) con 9 chips dememoria de chips por lado. "X4" o "x8" se refieren a la anchura de datos de los chips DRAM en bits.En el caso de los «DIMM x4», la anchura de datos por lado es de 36 bits, por lo tanto, el controlador de memoria(que requiere 72 bits) para hacer frente a las necesidades de ambas partes al mismo tiempo para leer y escribir losdatos que necesita. En este caso, el módulo de doble cara es único en la clasificación.Para los «DIMM x8», cada lado es de 72 bits de ancho, por lo que el controlador de memoria sólo se refiere a un ladoa la vez (el módulo de dos caras es de doble clasificación).

Filas de los módulosLas filas no pueden ser accedidas simultáneamente como si compartieran el mismo camino de datos. El diseño físicode los chips [DRAM] en un módulo DIMM no hace referencia necesariamente al número de filas.Las DIMM frecuentemente son referenciadas como de "un lado" o de "doble lado", refiriéndose a la ubicación de loschips de memoria que están en uno o en ambos lados del chip DIMM. Estos términos pueden causar confusión yaque no se refieren necesariamente a cómo están organizados lógicamente los chips DIMM o a qué formas hay deacceder a ellos.Por ejemplo, en un chip DIMM de una fila que tiene 64 bits de datos de entrada/salida, solo hay conjunto de chips[DRAM] que se activan para leer o recibir una escritura en los 64 bits. En la mayoría de sistemas electrónicos, loscontroladores de memoria son diseñados para acceder a todo el bus de datos del módulo de memoria.En un chip DIMM de 64 bits hecho con dos filas, debe haber dos conjuntos de chips DRAM que puedan seraccedidos en tiempos diferentes. Sólo una de las filas puede ser accedida en un instante de tiempo desde que los bitsde datos de los DRAM son enlazados para dos cargas en el DIMM.Las filas son accedidas mediante señales «chip select» (CS). Por lo tanto para un módulo de dos filas, las dos DRAMcon los bits de datos entrelazados pueden ser accedidas mediante una señal CS por DRAM.

Page 12: Memoria RAM

SDRAM 10

SDRAMSDRAM (de las siglas en Inglés Synchronous Dynamic Random-Access Memory) se refiere a una familia dememorias dinámicas de acceso aleatorio (DRAM) que tienen una interfaz síncrona, usadas ya desde principios de1970.[1]

Visión general

Módulos de memoria SDR SDRAM.

Tradicionalmente, la memoriadinámica de acceso aleatorio DRAMtenía una interfaz asíncrona, lo quesignificaba que el cambio de estado dela memoria se efectúa un cierto tiempo(marcado por las características de lamemoria) desde que cambian susentradas. En cambio, en las SDRAM elcambio de estado tiene lugar en unmomento señalado por una señal dereloj y, por lo tanto, está sincronizadacon el bus de sistema del ordenador.El reloj también permite controlar unamáquina de estados finitos interna quecontrola la función de "pipeline" de lasinstrucciones de entrada. Esto permiteque el chip tenga un patrón deoperación más complejo que la DRAM asíncrona, que no tiene una interfaz de sincronización.

El método de segmentación (pipeline) significa que el chip puede aceptar una nueva instrucción antes de que hayaterminado de procesar la anterior. En una escritura de datos, el comando "escribir" puede ser seguidoinmediatamente por otra instrucción, sin esperar a que los datos se escriban en la matriz de memoria. En una lectura,los datos solicitados aparecen después de un número fijo de pulsos de reloj tras la instrucción de lectura, durante loscuales se pueden enviar otras instrucciones adicionales. (Este retraso se llama latencia y es un parámetro importantea considerar cuando se compra una memoria SDRAM para un ordenador.)

UsoLas memorias SDRAM son ampliamente utilizadas en los ordenadores, desde la original SDR SDRAM y lasposteriores DDR, DDR2 y DDR3. Actualmente se está produciendo la DDR4 y se prevé que estará disponible en2014. Las memorias SDRAM también están disponible en variedades registradas, para sistemas que requieren unamayor escalabilidad, como servidores y estaciones de trabajo.

CaracterísticasLos módulos SDRAM tienen sus propias especificaciones de tiempo, que pueden ser más lentas que las de los chipsen el módulo. Cuando los chips SDRAM de 100 MHz aparecieron por primera vez, algunos fabricantes vendíanmódulos "de 100 MHz" que no podían funcionar de forma fiable en esa frecuencia de reloj. En respuesta, Intelpublicó el estándar PC100, que describe los requisitos y directrices para la producción de un módulo de memoria quepuede funcionar de forma fiable a 100 MHz. Esta norma fue muy influyente, y el término "PC100" rápidamente seconvirtió en un identificador común para módulos SDRAM de 100 MHz, y los módulos son ahora comúnmente

Page 13: Memoria RAM

SDRAM 11

designados como "PC"-número (PC66, PC100 o PC133 - aunque el significado actual de los números ha cambiado).

Latencia

Ocho circuitos integrados SDRAM en un móduloDIMM SDR SDRAM PC100.

La latencia SDRAM no es intrínsecamente inferior (más rápido) que laDRAM asíncrona. De hecho, las primeras memorias SDRAM eranalgo más lentas que las BEDO-DRAM debido a la lógica adicional.Los beneficios del buffer interno de las SDRAM provienen de sucapacidad para intercalar las operaciones en los bancos múltiples de lamemoria, lo que aumenta el ancho de banda efectivo.

Obsolescencia

Existen varios límites en el rendimiento de la DRAM. El más conocido es el tiempo de ciclo de lectura, esto es eltiempo entre las sucesivas operaciones de lectura a una fila abierta. Este tiempo se redujo de 10 ns en las SDRAM de100 MHz a 5 ns en las DDR-400, pero se ha mantenido relativamente sin cambios a través de las generacionesDDR2-800 y DDR3-1600. Sin embargo, al operar la circuitería de interfaz en múltiplos cada vez mayores de la tasade lectura fundamental (con periodos cada vez más pequeños), el ancho de banda alcanzable ha aumentadorápidamente.

Otro límite es la latencia CAS, el tiempo entre el suministro de la dirección de una columna y la recepción de losdatos correspondientes. De nuevo, esto se ha mantenido relativamente constante entre 10 y 15 ns en las últimasgeneraciones de SDRAM DDR. En la práctica la latencia CAS es un número específico de ciclos de relojprogramados en el registro de modo de la SDRAM, y tenidos en cuenta por el controlador de la memoria SDRAM.Cualquier valor puede ser programado, pero la SDRAM no funcionará correctamente si es demasiado bajo, ya queeste valor de guarda no cubrirá la latencia real. A mayores tasas de reloj la latencia CAS medida en ciclos aumenta,aunque en el tiempo sea la misma: 10-15 ns son 2-3 ciclos de reloj de 200 MHz de la DDR-400, 4-6 ciclos para laDDR2-800, y 8-12 ciclos para la DDR3-1600.

Historia

1970Aunque el concepto de memoria DRAM síncrona era conocido desde al menos la década de 1970, y fue utilizadocon los primeros procesadores de Intel, fue sólo en 1993 cuando la SDRAM comenzó su camino hacia la aceptaciónuniversal de la industria electrónica.

1993En 1993, Samsung introdujo su KM48SL2000 DRAM síncrona, y en 2000, la SDRAM había sustituido aprácticamente todos los otros tipos de DRAM en los ordenadores modernos, debido a su mayor rendimiento.

Page 14: Memoria RAM

SDRAM 12

2007Desde 2007 las SDRAM DIMM de 168 pines no se utilizan en nuevos sistemas de PC, y las DDR de 184 pines hansido sustituidas en su mayoría. Las SDRAM DDR2 son el tipo más común usado en equipos nuevos, y las placasbase y memorias DDR3 están ampliamente disponibles, siendo incluso más baratas que los todavía popularesproductos DDR2.

ActualidadHoy en día prácticamente todas las SDRAM se fabrican de acuerdo con las normas establecidas por la JEDEC, unaasociación de la industria electrónica que adopta los estándares abiertos para facilitar la interoperabilidad de loscomponentes electrónicos. JEDEC adoptó formalmente su primer estándar SDRAM en 1993, y posteriormenteaprobó más normas SDRAM, incluyendo las de DDR, DDR2 y DDR3. En la actualidad, los fabricantes más grandesdel mundo de SDRAM incluyen: Samsung Electronics, Panasonic, Micron Technology, y Hynix.

Visión detallada

FuncionamientoEl uso del bus de datos es complejo y requiere un controlador de memoria DRAM complejo, ya que los datos aescribir en la memoria DRAM deben presentarse en el mismo ciclo que el comando de escritura, pero la lecturaproduce una salida 2 o 3 ciclos después del comando correspondiente. El controlador de memoria DRAM debeasegurarse de que el bus de datos nunca se requiere para escritura y lectura simultáneamente.

Señales de control

Todos los comandos están programados en relación con el flanco de subida de una señal de reloj. Además del reloj,hay 6 señales de control, en su mayoría de baja activa, que se muestra en el flanco de subida del reloj:• Reloj ‘’’CKE’’’ Habilitar. Cuando esta señal es baja, el chip se comporta como si el reloj se ha detenido. No

comandos son interpretados y tiempos de latencia de comando no transcurrir. El estado de las líneas de control deotros no es relevante. El efecto de esta señal es en realidad un retraso de un ciclo de reloj. Es decir, el productoactual ciclo de reloj, como de costumbre, pero el siguiente ciclo de reloj es ignorado, excepto para la prueba denuevo la entrada de CKE. Reanudar las operaciones normales en el flanco de subida del reloj después de aquel enel que se toman muestras de CKE alta.

Dicho de otra manera, todas las operaciones de microprocesadores también se programan en relación con el flancoascendente de un reloj de enmascarados. El reloj enmascarado es el lógico de la entrada de reloj y el estado de laseñal de CKE en el flanco de subida anterior de la entrada de reloj.• ‘’’/ CS’’’ Chip Select. Cuando esta señal es alta, el chip hace caso omiso de todas las otras entradas (excepto para

CKE), y actúa como si se recibe un comando NOP.• ‘’’DQM’’’ ocultar los datos. (La letra Q aparece porque, siguiendo las convenciones de la lógica digital, las líneas

de datos se conoce como "DQ" líneas.) Al alta, estas señales de supresión de los datos I / O. Cuando acompañan aescribir los datos, los datos no son en realidad por escrito a la DRAM. Cuando afirmó alta dos ciclos antes de unciclo de lectura, la lectura de datos no es la salida del chip. Hay una línea DQM por 8 bits en un chip x16 dememoria o DIMM.

• ‘’’/RAS’’’ fila Dirección Strobe. A pesar del nombre, este no es un estrobo, sino simplemente un poco decomandos. Junto con / CAS y / WE, esto selecciona uno de los 8 comandos.

• ‘’’/ CAS’’’ columna Dirección Strobe. A pesar del nombre, este no es un estrobo, sino simplemente un poco decomandos. Junto con / RAS y / WE, esto selecciona uno de los 8 comandos.

• ‘’’/ WE’’’ modo escritura. Junto con / RAS y CAS, esta selecciona uno de los 8 comandos. Esto generalmente sedistingue de lectura como los comandos de escribir-como comandos.

Page 15: Memoria RAM

SDRAM 13

Dispositivos SDRAM se dividen internamente en 2 o 4 bancos de datos interna independiente. Uno o dos entradas dela dirección del banco (BA0 y BA1) seleccionar un comando de que el banco se dirige.Muchos de los comandos también utilizar una dirección presentados en los pines de dirección de entrada. Algunoscomandos, que o bien no utilizar una dirección, o presentar una columna de dirección, también utilizan A10 paraseleccionar variantes. Los comandos de entender son los siguientes.

/CS /RAS /CAS /WE BAn A10 An Comandos

H x x x x x x Comando do inhibir (No operación)

L H H H x x x Ninguna operación

L H H L x x x Burst Terminar: Parada de una ráfaga de lectura o escritura en el progreso estallido.

L H L H banco L columna Leer: Leer una ráfaga de datos de la fila activa.

L H L H banco H columna Lea con precarga automática: Como el anterior, y precarga (cierra la fila) cuando termine.

L H L L banco L columna Escribe: Escribe una ráfaga de datos a la fila activa.

L H L L banco H columna Escribir con precarga automática: Como el anterior, y precarga (cierra la fila) cuando termine.

L L H H banco row Active (Activar): abrir una línea de comandos Leer y Escribir

L L H L banco L x Precarga: Desactivar la fila actual del banco seleccionado.

L L H L x H x Precargar todos: Desactivar la fila actual de todos los bancos.

L L L H x x x Actualización automática: Actualizar una fila de cada banco, utilizando un contador interno.Todos los bancos deben ser precargado.

L L L L 0 0 mode Registro de modo de carga: A0 a través de A9 se cargan para configurar el chip DRAM Losajustes más importantes son la latencia CAS (2 o 3 ciclos) y la longitud de la ráfaga (1, 2, 4 u 8ciclos)

Cómo opera

Una SDRAM DIMM 512 MB pueda hacerse de los 8 o 9 chips SDRAM, cada uno con 512 Mbit dealmacenamiento, y aportando cada uno de 8 bits de ancho de 64 - o 72-bit de la DIMM. Una típica de chips SDRAMde 512 Mbit internamente consta de 4 bancos independientes de 16 Mbytes. Cada banco es una matriz de 8.192líneas de 16.384 bits cada uno. Un banco es o inactivo, activo, o cambiar de uno a otro.Un comando activo activa un banco de inactividad. Se necesita un 2-bit de la dirección del banco (BA0-BA1) y unadirección de la fila 13-bit (A0-A12), y dice que la fila en la matriz del banco de 16.384 amplificadores de sentido.Esto también se conoce como "apertura" de la fila. Esta operación tiene el efecto secundario de actualizar esa fila.Una vez que la fila se ha activado o "abierto", leer y escribir los comandos son posibles. Cada comando requiere unadirección de columna, pero debido a que cada chip funciona en 8 bits a la vez, hay 2048 direcciones de columnaposible, necesitando sólo 11 líneas de dirección (A0-A9, A11). La activación requiere un tiempo mínimo, llamado dela fila a retrasar la columna, o tRCD. Esta vez, redondeado al próximo múltiplo del período de reloj, especifica elnúmero mínimo de ciclos entre un comando activo, y de lectura o escritura de comandos. Durante estos ciclos deretraso, comandos arbitrarios pueden ser enviados a otros bancos, que son completamente independientes.Cuando se emite un comando de lectura, la SDRAM producirá la salida de datos correspondiente en las líneas de DQa tiempo para el flanco de subida del reloj de 2 o 3 ciclos más tarde (dependiendo de la latencia CAS estáconfigurado). Tras las palabras de la explosión se produjo a tiempo para que los bordes posteriores de reloj enaumento.Un comando de escritura va acompañada de los datos sean escritos en las líneas de DQ en el flanco de subida igual.Es el deber del controlador de memoria para garantizar que la SDRAM no es leer los datos de conducción en laslíneas de DQ, al mismo tiempo que necesita para escribir datos en la unidad de estas líneas. Esto puede ser hecho por

Page 16: Memoria RAM

SDRAM 14

esperar hasta que una ráfaga de lectura no está en curso, da por concluido el estallido leer, o utilizando la línea decontrol DQM.Cuando el controlador de memoria quiere acceder a una fila diferente, primero debe devolver ese sentido bancoamplificadores a un estado de inactividad, listo para sentir la siguiente fila. Esto se conoce como precarga una"operación", o "cierre" de la fila. La precarga puede ser ordenada de forma explícita, o puede ser realizado de formaautomática a la conclusión de una operación de lectura o escritura. Una vez más, hay un tiempo mínimo, la demorade precarga de fila, PRT, que debe transcurrir antes de que el banco esté totalmente inactivo y puede recibir otrocomando activo.Si bien refrescar una fila es un efecto secundario automático de activarlo, hay un tiempo mínimo para que estosuceda, lo que requiere un mínimo tiempo de acceso a la fila tRAS, que debe transcurrir entre un comando activa laapertura de una fila, y el comando de precarga correspondiente cierre. Este límite es generalmente eclipsada por losque desee leer y escribir los comandos a la fila, por lo que su valor tiene poco efecto sobre el rendimiento típico.

Comando de las interacciones

La operación de comando no siempre se permite.La carga de comandos de modo registro requiere que todos los bancos de estar inactivo, y un retraso después de quelos cambios surtan efecto.El comando de actualización automática también requiere que todos los bancos de estar inactivo, y toma un refrescotRFC tiempo de ciclo para regresar el chip al estado de inactividad. (Este tiempo es generalmente igual a tRCD +PRT.)El único otro comando que se permite en un banco de inactividad es el comando activo. Esto lleva, como semencionó anteriormente, tRCD antes de la fila está completamente abierta, y puede aceptar leer y escribir loscomandos.Cuando un banco está abierto, hay cuatro comandos permite: leer, escribir, poner fin a estallar, y precarga. Leer yescribir comandos comienzan ráfagas, que puede ser interrumpida por los siguientes comandos.

La interrupción de un estallido leer

De lectura, se echó terminar, o un comando de precarga se podrán expedir en cualquier momento después de uncomando de lectura, y se interrumpa el estallido leído después de la latencia CAS configurado. Así que si uncomando de lectura se emite en el ciclo de 0, otro comando de lectura se emite en el ciclo 2, y la latencia CAS es 3,entonces el comando de lectura primero se iniciará de ruptura de datos durante los ciclos 3 y 4, a continuación, losresultados de la segunda lectura comando aparecerá a partir de ciclo 5.Si el comando emitido en el ciclo 2 se rompió por terminado, o una precarga del banco activo, entonces no hay salidase genera durante el ciclo 5.Aunque la interrupción de leer puede ser a cualquier banco activo, un comando de precarga sólo interrumpir elestallido de leer si se quiere que el mismo banco o de todos los bancos, un comando de precarga a un banco diferenteno interrumpirá una explosión leer.Para interrumpir un estallido leído por un comando de escritura es posible, pero más difícil. Se puede hacer, si laseñal DQM se utiliza para suprimir la producción de la SDRAM para que el controlador de memoria pueda manejardatos a través de las líneas de DQ a la SDRAM a tiempo para la operación de escritura. Debido a los efectos deDQM en la lectura de datos se retrasan en 2 ciclos, pero los efectos de DQM en escribir los datos son inmediatos,DQM debe ser elevado (para ocultar los datos leídos), comenzando por lo menos dos ciclos antes de escribircomandos, sino que debe reducirse para el ciclo de la escritura de comando (asumiendo que usted desea que elcomando de escritura para tener un efecto).

Page 17: Memoria RAM

SDRAM 15

Hacer esto en sólo dos ciclos de reloj requiere una cuidadosa coordinación entre el momento de la toma de SDRAMpara apagar su producción en un borde de reloj y el tiempo que los datos deben ser suministrados como entrada a laSDRAM para la escritura en el borde de reloj siguiente. Si la frecuencia de reloj es demasiado alta para permitir eltiempo suficiente, tres ciclos que sean necesarios.Si el comando de lectura incluye auto-precarga, la precarga se inicia el mismo ciclo que el comando de interrupción.

Interrupción de una escritura estallido

Cualquier leer, escribir, o la explosión acabar con el comando, para cualquier banco, ponga fin a un estallido escribirinmediatamente, los datos proporcionados en las líneas de DQ cuando se emite el segundo comando sólo se utiliza siel segundo comando es también una escritura.Es posible poner fin a un estallido escribir con un comando de precarga (para el mismo banco), pero también es másdifícil. Hay un mínimo de tiempo de escritura, TWR, que debe transcurrir entre la última operación de escritura a unbanco (el ciclo desenmascarado pasado de una escritura de ruptura) y un comando de precarga siguiente, de modo deescritura instantánea sólo podrá ser resuelto por un comando de precarga si es lo suficientemente los ciclos seenmascaran detrás (con DQM) para compensar la TWR necesario. Una escritura-con-mando automático precargaincluye esta demora de forma automática.

Interrupción de una auto-precarga de comandos

Manejo de la interrupción de la lectura y escritura con auto-precarga SDRAM es una característica opcional, peromuchos lo apoyan. Si se utiliza este, la precarga (después de leer) o TWR esperar seguido de precarga (después deuna operación de escritura) comienza el mismo ciclo que el comando de interrupción.

Estallido SDRAM pedido

Un microprocesador moderno con un caché de memoria de acceso general, en unidades de las líneas de caché. Paratransferir una línea de caché de 64 bytes requiere 8 accesos consecutivos a un 64-bit DIMM, que pueden serprovocados por una sola lectura o escritura de comandos mediante la configuración de los chips de SDRAM,utilizando el registro de modo, para realizar 8-ráfagas palabra.Una línea de caché de buscar es típicamente provocada por una lectura de una dirección particular, y SDRAMpermite que la palabra "crítica" de la línea de cache para ser transferidos en primer lugar. (“Word" aquí se refiere a laanchura de la viruta o SDRAM DIMM, que es de 64 bits para un DIMM típica). Chips SDRAM de dos convenios deapoyo posible para el ordenamiento de las palabras que quedan en la línea de cache.Ráfagas siempre tener acceso a un bloque alineado de palabras BL consecutivos que comienza en un múltiplo de BL.Así, por ejemplo, un 4-acceso estallido palabra a cualquier dirección de la columna 4 a 7 volverá palabras 4-7. Elorden, sin embargo, depende de la dirección requerida, y la opción de configurar el tipo de rotura: secuencial ointercalada. Normalmente, un controlador de memoria se requiere uno o el otro.Cuando la longitud de la ráfaga es de 1 o 2, el tipo de explosión, no importa. Para una longitud de la ráfaga de 1, lapalabra que es la única palabra que tiene acceso. Para una longitud de explosión de 2, la palabra que se accede enprimer lugar, y la otra palabra en el bloque alineado se accede a segunda. Esta es la palabra siguiente si se haespecificado una dirección, incluso, y la palabra anterior si se ha especificado una dirección extraña.Para el modo de ráfaga secuencial, más tarde las palabras se acceden en orden creciente en la dirección, ajuste denuevo al inicio del bloque que se llegó al final. Así, por ejemplo, para una longitud de la ráfaga de 4, y una direcciónde columna solicitada de 5, las palabras se puede acceder en el orden 5-6-7-4. Si la longitud de la ráfaga era de 8, elorden de acceso sería 5-6-7-0-1-2-3-4. Esto se hace mediante la adición de un contador a la dirección de la columna,y haciendo caso omiso lleva más allá de la longitud de la ráfaga.El modo de ráfaga intercalada calcula la dirección mediante un exclusivo o de cooperación entre el contador y la dirección. Uso de la dirección de comienzo mismo de 5, 4-estalló palabra volvería palabras en el orden 5-4-7-6. Un

Page 18: Memoria RAM

SDRAM 16

8-estalló palabra sería 5-4-7-6-1-0-3-2. Aunque más confuso para los seres humanos, esto puede ser más fácil deimplementar en hardware, y es preferido por los microprocesadores de Intel.Si la dirección de la columna solicitada se encuentra en el inicio de un bloque, modos de ráfaga, tanto devolver losdatos en el orden secuencial mismo 0-1-2-3-4-5-6-7. La única diferencia importa si ir a buscar una línea de caché dela memoria en orden de las palabras críticas, en primer lugar.

Modo de registro

De datos único SDRAM tiene una tasa de 10 páginas a un bit de modo de registro programable. Más tarde, el doblede datos de normas de SDRAM tasa añadir registros modo adicional, se dirigió a utilizar los pines banco dedirecciones. Para SDRAM SDR, las clavijas de Dirección del Banco y las líneas de dirección A10 y encima se pasanpor alto, pero debe ser cero durante un registro de modo de escribir.Los bits se M9 a través de M0, presentado por la A9 a través de líneas de dirección A0 durante un ciclo de carga deregistro de modo.•• M9: Escribe el modo ráfaga. Si es 0, escribe utilizar la longitud de la ráfaga y el modo de leer. Si 1, todas las

escrituras no son de estallido (ubicación única).•• M8, M7: modo de funcionamiento. Reservado, y debe ser 00.•• M6, M5, M4: latencia CAS. En general, sólo 010 (CL2) y 011 (CL3) son legales. Especifica el número de ciclos

entre un comando de lectura y de salida de datos del chip. El chip tiene un límite fundamental de este valor ennanosegundos, durante la inicialización, el controlador de memoria debe utilizar su conocimiento de la frecuenciade reloj de traducir ese límite en los ciclos.

•• M3: Tipo de ráfaga. 0 - peticiones estallido secuencial de pedidos, mientras que 1 peticiones intercalados estallidode pedido.

•• M2, M1, M0: longitud de la ráfaga. Los valores de 000, 001, 010 y 011 especifican un tamaño de ráfaga de 1, 2, 4u 8 palabras, respectivamente. Cada leer (y escribir, si M9 es 0) llevará a cabo que tiene acceso a muchos, a no serinterrumpido por una parada de reventar o otro comando. Un valor de 111 especifica una explosión fila completa.La explosión continuará hasta que se interrumpan. Full estallidos de fila sólo se permite con el tipo de explosiónsecuencial.

Actualización automática

Es posible cargar un chip de memoria RAM por la apertura y cierre (activación y precarga) cada fila de cada banco.Sin embargo, para simplificar el controlador de memoria, los chips SDRAM de apoyo a una "actualizaciónautomática" de comando, que realiza estas operaciones a una fila de cada banco de forma simultánea. La SDRAMtambién mantiene un contador interno, que itera sobre todos los registros posibles. El controlador de memoria,simplemente debe enviar un número suficiente de comandos de actualización automática (una por fila, 4096 en elejemplo que hemos estado usando) cada intervalo de actualización (TREF = 64 ms es un valor común). Todos losbancos debe estar inactivo (cerrado, precargado) cuando se emite este comando.

Modos de bajo consumo

Como se mencionó, el reloj de habilitar (CKE) de entrada puede ser usada efectivamente para detener el reloj a unaSDRAM. La entrada de CKE se muestra cada flanco de subida del reloj, y si es baja, el siguiente flanco de subida delreloj se omite para cualquier otro fin que el control de CKE.CKE Si se baja, mientras que la SDRAM está realizando operaciones, sino que simplemente se "congela" en el lugarhasta CKE se eleva de nuevo.Si la SDRAM está inactiva (todos los bancos precargado, ningún comando en curso), cuando se baja de CKE, laSDRAM entra automáticamente en modo power-down, poder de consumo mínimo hasta CKE se eleva de nuevo.Esto no debe durar más de TREF el máximo intervalo de actualización, o la memoria del contenido se puede perder.

Page 19: Memoria RAM

SDRAM 17

Es legal para detener el reloj en su totalidad durante este tiempo para el ahorro de energía adicional.Por último, si CKE se reduce al mismo tiempo, como un auto de comando de actualización se envía a la SDRAM,SDRAM entra en el modo de auto-actualización. Esto es como el poder hacia abajo, pero la SDRAM utiliza untemporizador en chip interno para generar ciclos de actualización cuando sea necesario. El reloj puede ser detenidodurante este tiempo. Si bien el modo de auto-actualización consume un poco más de modo power-down, permite queel controlador de memoria para ser desactivado por completo, lo que comúnmente más que compensa la diferencia.

Sucesos de errorAdemás de DDR, había varias otras tecnologías de memoria propuesto para suceder a SDR SDRAM.

Link DRAM síncrona (SLDRAM)

SLDRAM jactó de mayor rendimiento y compitió contra la RDRAM. Se desarrolló durante la década de 1990 por elConsorcio SLDRAM, que consistía de aproximadamente 20 fabricantes importantes de la industria informática. Esun estándar abierto y no requiere de licencias. Las especificaciones para el llamado del bus de 64-bit funcionan a unafrecuencia de 200 MHz de reloj. Esto se logra por todas las señales están en la misma línea y evitando así el tiempode sincronización de múltiples líneas. Como DDR SDRAM, SLDRAM puede operar al doble de velocidad del relojdel sistema dándole una velocidad efectiva de 400 MHz.

Virtual Channel Memory (VCM) SDRAM

VCM era un tipo de propiedad de SDRAM que fue diseñado por NEC, pero fue liberado como un estándar abierto,sin derechos de licencia. VCM crea un estado en el que los diferentes procesos del sistema se puede asignar supropio canal virtual, aumentando así la eficacia global del sistema, evitando la necesidad de que los procesos deespacio de búfer acción. Esto se logra mediante la creación de distintos "bloques" de la memoria, permitiendo quecada bloque de memoria individual a la interfaz por separado con el controlador de memoria y tener su espaciopropio buffer. VCM tiene mayor rendimiento que la SDRAM porque tiene latencias significativamente más bajos.La tecnología es un competidor potencial de RDRAM VCM porque no era tan caro como se RDRAM. Un móduloVCM es mecánica y eléctricamente compatible con la SDRAM estándar, sino que debe ser reconocido por elcontrolador de memoria. Placas pocos fueron producidos con el apoyo del VCM.

Generaciones de SDRAM

SDR SDRAM (Single Data Rate SDRAM o SDRAM de tasa de datos simple)

SDR SDRAM (de las siglas en Inglés Single Data Rate Synchronous Dynamic Random-Access Memory) es un tipode memoria RAM, de la familia de las SDRAM.

DDR SDRAM (Double Data Rate SDRAM o SDRAM de tasa de datos doble)

Mientras que la latencia de acceso de las memorias DRAM está fundamentalmente limitada por la propia matrizDRAM, el sistema tiene un ancho de banda potencial muy alto porque cada lectura interna es en realidad una fila demiles de bits (no una sola palabra de 8 bits). Para hacer este ancho de banda más disponible para los usuarios sedesarrolló la interfaz de doble tasa de datos. Este sistema utiliza los mismos comandos, aceptados una vez por ciclo,pero lee o escribe dos palabras de datos consecutivas por ciclo de reloj. Se añadieron algunos cambios menores en lainterfaz de temporización de SDR, y la tensión de alimentación se redujo de 3,3 a 2,5 V, por lo que DDR no esretrocompatible con SDR.Las frecuencias reloj típicas de DDR son de 133, 166 y 200 MHz (7,5, 6, y 5 ns/ciclo respectivamente),generalmente nombradas como DDR-266, DDR-333 y DDR-400 (ya que la tasa de datos es el doble de lafrecuencia). Los correspondientes paquetes DIMM de 184 pines son conocidos como PC-2100, PC-2700 y PC-3200.Un rendimiento de hasta DDR-550 (PC-4400) está disponible por cierto precio.

Page 20: Memoria RAM

SDRAM 18

DDR2 SDRAM (Double Data Rate type two SDRAM o SDRAM de tasa de datos doble de tipo dos)

La DDR2 SDRAM es muy similar a la DDR SDRAM, pero duplica de nuevo la unidad mínima de lectura o escriturainterna hasta las 4 palabras consecutivas. Esto permite que la tasa de bus vuelva a doblarse sin necesidad deaumentar la frecuencia de reloj interna de las operaciones de RAM. Por otra parte las operaciones internas se realizanen unidades 4 veces más grandes que una SDRAM simple (2 más que la DDR). Sin embargo el interfaz con el bussigue siendo de dos palabras por cada ciclo de reloj (por eso sigue siendo Double Data Ratio), por lo que lafrecuencia interna de la memoria es la mitad que la del bus, manera que esas 4 palabras se presenten en dos paresconsecutivos en el bus. El protocolo de bus también se simplificó para permitir un mayor rendimiento en laoperación. Asimismo se añadió un pin adicional para la selección de banco de memoria (Ba2) para permitir 8 bancosen chips de memoria RAM de gran tamaño.Las frecuencias de bus típicas de la DDR2 son 200, 266, 333 o 400 MHz (periodos de 5, 3,75, 3 y 2,5 nsrespectivamente), generalmente llamados DDR2-400, DDR2-533, DDR2-667 y DDR2-800. Los correspondientespaquetes DIMM de 240 pines son desde PC2-3200 hasta PC2-6400. La DDR2 SDRAM actualmente está disponiblea una velocidad de reloj de hasta 533 MHz, generalmente llamado DDR2-1066 y los módulos DIMMcorrespondientes se conocen como PC2-8500 (también PC2-8600, dependiendo del fabricante). Un rendimiento dehasta DDR2-1250 (PC2-10000) está disponible por cierto precio.Nótese que debido a que las operaciones internas se realizan a la mitad de la frecuencia de reloj, a igual tasa de datosse tiene una mayor latencia que en DDR. Por ejemplo una memoria DDR2-400 (velocidad del reloj interno de 100MHz) tiene una latencia algo más alta que los módulos DDR-400 (velocidad del reloj interno de 200 MHz).

DDR3 SDRAM (Double Data Rate type three SDRAM o SDRAM de tasa de datos doble de tipo tres)

DDR3 continúa la tendencia, duplicando el mínimo de lectura o escritura en la unidad a 8 palabras consecutivas.Esto permite otra duplicación de la velocidad de bus sin tener que cambiar la velocidad de reloj de las operacionesinternas. Para mantener las transferencias de 800-1600 Mb/s, la matriz RAM interna tiene que realizar sólo 100-200millones de accesos por segundo.Como ocurre con todas las generaciones de DDR, los comandos están limitados a un ciclo de reloj, y la latenciavuelve a aumentar al tener que convertir una lectura de 8 palabras en 4 pares para su salida al bus.Los chips de memoria DDR3 son actualmente (2012) los más habituales en equipos nuevos, teniendo frecuencias debus de 400, 533, 667 y 800 MHz, que se nombran como DDR3-800, DDR3-1066, DDR-1333 y DDR3-1600respectivamente, y se montan en módulos PC3-6400, PC3-8500, PC3-10600 y PC3-12800 respectivamente. Tasas dehasta DDR3-2000 están disponible por cierto precio.

DDR4 SDRAM (Double Data Rate type four SDRAM o SDRAM de tasa de datos doble de tipo cuatro)

DDR4 es el sucesor de DDR3, revelado en el foro de desarrollo Intel en 2008, y su lanzamiento es inminente 2012.Se espera que DDR4 alcance el mercado masivo sobre el 2015, lo que es comparable a los 5 años que llevó latransición de DDR2 a DDR3.Se espera que los nuevos chips tengan una alimentación de 1,2 V o menos,[2][3] contra los 1,5 V de DDR3, y tasas dedatos de hasta 2 GB/s. Se espera que inicialmente tengan frecuencias de bus de 2133 MHz, pero con potencialestimado de llegar hasta los 4266 MHz[4] y bajar el voltaje hasta 1,05 V[5] en 2013.Al contrario que en los anteriores desarrollos, DDR4 no incrementará en ancho de las lecturas, que seguirá siendo de8 bytes como en DDR3,[] sino que intercalará lecturas en diferentes bancos para alcanzar las velocidades de busdeseadas.En febrero de 2009 Samsung validó los chips DRAM de 40 nm, considerados un avance significativo hacia el desarrollo de DDR4,[6] mientras que los chips actuales comienzan a migrarse a 50 nm.[7] En enero de 2011 Samsung anunció la finalización y liberación para pruebas de un módulo RAM DDR4 de 30 nm de 2 GB, con un ancho de banda máximo de 2,13 Gb/s a 1,2 V, y usando tecnología pseudo - denador abierto que gasta un 40% menos que un

Page 21: Memoria RAM

SDRAM 19

módulo DDR3 equivalente.[8][9]

Tabla de características

Tipo Características

SDR Vcc = 3,3 VSeñal: LVTTL

DDR Acceso mínimo: 2 palabrasVcc = 2,5 VSeñal: SSTL_2 (2,5 V)[10]

DDR2 Acceso mínimo: 4 palabrasVcc = 1,8 VSeñal: SSTL_18 (1,8 V)[10]

Reloj interno a frecuencia 1/2

DDR3 Acceso mínimo: 8 palabrasVcc = 1,5 VSeñal: SSTL_15 (1,5 V)[10]

Reloj interno a frecuencia 1/4

DDR4 Vcc ≤ 1,2 V

Enlaces externos• Wikimedia Commons alberga contenido multimedia sobre SDRAM. Commons•• Diversos artículos en inglés (mayoritariamente) indicados en referencias•• Diversas páginas de revistas sobre informática

Referencias[2] Looking forward to DDR4 (http:/ / www. pcpro. co. uk/ news/ 220257/ idf-ddr3-wont-catch-up-with-ddr2-during-2009. html)[3] DDR3 successor (http:/ / www. heise-online. co. uk/ news/ IDF-DDR4-the-successor-to-DDR3-memory--/ 111367)[5] English translation (http:/ / translate. google. com/ translate?hl=en& sl=de& u=http:/ / www. hardware-infos. com/ news. php?news=2332&

ei=bi44Sv_wBouZjAfVzYyjDQ& sa=X& oi=translate& resnum=1& ct=result& prev=/ search?q=http:/ / www. hardware-infos. com/ news.php%3Fnews%3D2332& hl=en& safe=off& num=100)

[9] http:/ / www. techspot. com/ news/ 41818-samsung-develops-ddr4-memory-up-to-40-more-efficient. html[10][10] 100622 edadesignline.com

Page 22: Memoria RAM

DDR SDRAM 20

DDR SDRAMDDR SDRAM (de las siglas en Inglés Double Data Rate Synchronous Dynamic Random-Access Memory) es untipo de memoria RAM, de la familia de las SDRAM usadas ya desde principios de 1970.[1]

Visión generalDDR permite a ciertos módulos de memoria RAM compuestos por memorias síncronas (SDRAM), disponibles enencapsulado DIMM, la capacidad de transferir simultáneamente datos por dos canales distintos en un mismo ciclo dereloj. Los módulos DDR soportan una capacidad máxima de 1 GiB (1 073 741 824 bytes).

Características

Comparación gráfica entre memorias DDR,DDR2 y DDR3 para estaciones de trabajo.

Historia

Fueron primero adoptadas de sistemas equipados con procesadoresAMD Athlon. Intel con su Pentium 4 en un principio utilizóúnicamente memorias RAMBUS, más costosas. Ante el avance enventas y buen rendimiento de los sistemas AMD basados en DDRSDRAM, Intel se vio obligado a cambiar su estrategia y utilizarmemoria DDR, lo que le permitió competir en precio. Son compatiblescon los procesadores de Intel Pentium 4 que disponen de un front-sidebus de 64 bits de datos y frecuencias de reloj internas que van desdelos 200 a los 400 MHz.

Predecesor

SDR SDRAM

SDR SDRAM (de las siglas en Inglés Single Data Rate SynchronousDynamic Random-Access Memory) es un tipo de memoria RAM, de la familia de las SDRAM.

Sucesor

DDR2 SDRAM

DDR2 SDRAM (de las siglas en Inglés Double Data Rate type two Synchronous Dynamic Random-AccessMemory) es un tipo de memoria RAM, de la familia de las SDRAM.

Visión detallada

Muchas placas base permiten utilizar estas memorias en dos modos de trabajo distintos:

Page 23: Memoria RAM

DDR SDRAM 21

Comparación gráfica entre memorias DDR,DDR2 y DDR3 para computadoras portátiles.

• Single memory channel: todos los módulos de memoriaintercambian información con el bus a través de un solo canal, paraello sólo es necesario introducir todos los módulos DIMM en elmismo banco de slots.

• Dual memory channel: se reparten los módulos de memoria entre los dos bancos de ranuras diferenciados en laplaca base, y pueden intercambiar datos con el bus a través de dos canales simultáneos, uno para cada banco.[2]

Estándares [3][4][5]

Módulo de memoria DDR.

Nombreestándar

Reloj deBus

Tiempo entreseñales

Reloj dememoria

Datos transferidos porsegundo

Nombre delmódulo

Máxima capacidad detransferencia

DDR-200 100 MHz 100 MHz 200 Millones PC-1600 1600 MB/s (1,6 GB/s)

DDR-266 133 MHz 133 MHz 266 Millones PC-2100 2128 MB/s (2,1 GB/s)

DDR-333 166 MHz 166 MHz 333 Millones PC-2700 2656 MB/s (2,6 GB/s)

DDR-400 200 MHz 200 MHz 400 Millones PC-3200 3200 MB/s (3,2 GB/s)

1. Se utiliza la nomenclatura PC-XXXX, dónde se indica el ancho de banda del módulo y pueden transferir unvolumen de información de 8 bytes en cada ciclo de reloj a las frecuencias descritas. Un ejemplo de cálculo paraPC1600: 100 MHz x 2 (Double Data Rate) x 8 B = 1600 MB/s = 1 600 000 000 bytes por segundo.

Page 24: Memoria RAM

DDR SDRAM 22

Referencias

DDR2 SDRAMDDR2 SDRAM (de las siglas en Inglés Double Data Rate type two Synchronous Dynamic Random-AccessMemory) es un tipo de memoria RAM, de la familia de las SDRAM usadas ya desde principios de 1970.[1]

Visión generalLos módulos DDR2 son capaces de trabajar con 4 bits por ciclo, es decir 2 de ida y 2 de vuelta en un mismo ciclomejorando sustancialmente el ancho de banda potencial bajo la misma frecuencia de una DDR SDRAM tradicional(si una DDR a 200 MHz reales entregaba 400 MHz nominales, la DDR2 por esos mismos 200 MHz reales entrega800 MHz nominales). Este sistema funciona debido a que dentro de las memorias hay un pequeño buffer que es elque guarda la información para luego transmitirla fuera del módulo de memoria. En el caso de la DDR convencionaleste buffer trabajaba tomando los 2 bits para transmitirlos en 1 sólo ciclo, lo que aumenta la frecuencia final. En lasDDR2, el buffer almacena 4 bits para luego enviarlos, lo que a su vez redobla la frecuencia nominal sin necesidad deaumentar la frecuencia real de los módulos de memoria.

Comparación gráfica entre memorias DDR,DDR2 y DDR3

Las memorias DDR2 tienen mayores latencias que las conseguidas conlas DDR convencionales, cosa que perjudicaba su rendimiento.Reducir la latencia en las DDR2 no es fácil. El mismo hecho de que elbuffer de la memoria DDR2 pueda almacenar 4 bits para luegoenviarlos es el causante de la mayor latencia, debido a que se necesitamayor tiempo de "escucha" por parte del buffer y mayor tiempo detrabajo por parte de los módulos de memoria, para recopilar esos 4 bitsantes de poder enviar la información.

Características

•• Las memorias DDR2 son una mejora de las memorias DDR(Double Data Rate), que permiten que los búferes de entrada/salidatrabajen al doble de la frecuencia del núcleo, permitiendo quedurante cada ciclo de reloj se realicen cuatro transferencias.

• Operan tanto en el flanco alto del reloj como en el bajo, en lospuntos de 0 voltios y 1,8 voltios, lo que reduce el consumo de energía en aproximadamente el 50 por ciento delconsumo de las DDR, que trabajaban a 0 voltios y a 2,5.

• Terminación de señal de memoria dentro del chip de la memoria ("Terminación integrada" u ODT) para evitarerrores de transmisión de señal reflejada.

Comparación DDRDDR2 se introdujo a dos velocidades iniciales: 200 MHz (llamada PC2-3200) y 266 MHz (PC2-4200). Ambastienen un menor rendimiento que sus equivalentes en DDR, ya que su mayor latencia hace que los tiempos totales deacceso sean hasta dos veces mayores. Sin embargo, la DDR no ha sido oficialmente introducida a velocidades porencima de los 266 MHz. Existen DDR-533 e incluso DDR-600, pero la JEDEC ha afirmado que no seestandarizarán. Estos módulos son, principalmente, optimizaciones de los fabricantes, que utilizan mucha másenergía que los módulos con un reloj más lento, y que no ofrecen un mayor rendimiento.

Page 25: Memoria RAM

DDR2 SDRAM 23

Actualmente, Intel soporta DDR2 en sus chipsets 9xx. AMD incluye soporte DDR2 en procesadores de la plataformaAM2 introducidos en el 2006.Los DIMM DDR2 tienen 240 pines, mientras que los de DDR tienen 184 y los de SDR 168.

Historia

Predecesor

DDR SDRAM

DDR SDRAM (de las siglas en Inglés Double Data Rate Synchronous Dynamic Random-Access Memory) es untipo de memoria RAM, de la familia de las SDRAM.

Sucesor

DDR3 SDRAM

DDR3 SDRAM (de las siglas en Inglés Double Data Rate type three Synchronous Dynamic Random-AccessMemory) es un tipo de memoria RAM, de la familia de las SDRAM.

Visión detallada

Estándares

Módulos

Un módulo DDR2 de 1 GB con disipador

Para usar en PC, las DDR2 SDRAMson suministradas en tarjetas dememoria DIMM con 240 pines y unalocalización con una sola ranura. Lastarjetas DIMM son identificadas por sumáxima capacidad de transferencia,llamado ancho de banda.

Nombreestándar

Velocidad delreloj

Tiempo entreseñales

Velocidad del relojde E/S

Datos transferidos porsegundo

Nombre delmódulo

Máxima capacidad detransferencia

DDR2-400 100 MHz 10 ns 200 MHz 400 millones PC2-3200 3200 MB/s

DDR2-533 133 MHz 7,6 ns 266 MHz 533 millones PC2-4200 4264 MB/s

DDR2-600 150 MHz 6,7 ns 300 MHz 600 millones PC2-4800 4800 MB/s

DDR2-667 166 MHz 6 ns 333 MHz 667 Millones PC2-5300 5336 MB/s

DDR2-800 200 MHz 5 ns 400 MHz 800 Millones PC2-6400 6400 MB/s

DDR2-1000 250 MHz 3,75 ns 500 MHz 1000 Millones PC2-8000 8000 MB/s

DDR2-1066 266 MHz 3,75 ns 533 MHz 1066 Millones PC2-8500 8530 MB/s

DDR2-1150 286 MHz 3,5 ns 575 MHz 1150 Millones PC2-9200 9200 MB/s

DDR2-1200 300 MHz 3,3 ns 800 MHz 1200 Millones PC2-9600 9600 MB/s

Page 26: Memoria RAM

DDR2 SDRAM 24

Nota: DDR2-xxx indica la velocidad de reloj efectiva, mientras que PC2-xxxx indica el ancho de banda teórico(aunque suele estar redondeado). El ancho de banda se calcula multiplicando la velocidad de reloj efectiva porocho, ya que la DDR2 (como la DDR) es una memoria de 64 bits, hay 8 bits en un byte, y 64 es 8 por 8 y por últimopor 2 (doble tasa de transferencia), esto se empezó a usar para mostrar la velocidad de transferencia frente a lasmemorias "Rambus" que eran más rápidas en sus ciclos de reloj operación, pero solo eran de 16 bits1 Algunos fabricantes etiquetan sus memorias DDR2-667 como PC2-5400 en vez de PC2-5300. Al menos unfabricante ha reportado que esto refleja pruebas satisfactorias a una velocidad más rápida que la normal.

Tecnologías relacionadas

GDDR2El primer producto comercial en afirmar que usaba tecnología GDDR2 fue la tarjeta gráfica nVIDIA GeForce FX5800. Sin embargo, es importante aclarar que la memoria "DDR2" usada en las tarjetas gráficas (llamadaoficialmente GDDR2) no es DDR2, sino un punto intermedio entre las DDR y DDR2. De hecho, no incluye el(importantísimo) doble ratio del reloj de entrada/salida, y tiene serios problemas de sobrecalentamiento debido a losvoltajes nominales de la DDR. ATI Technologies (ahora AMD) posteriormente ha desarrollado aún más el formatoGDDR, hasta el GDDR3, que es más parecido a las especificaciones de la DDR2, aunque con varios añadidosespecíficos para tarjetas gráficas.Tras la introducción de la GDDR2 con la serie FX 5800, las series 5900 y 5950 volvieron a usar DDR, pero la 5700Ultra usaba GDDR2 con una velocidad de 450 MHz (en comparación con los 400 MHz de la 5800 o los 500 MHz dela 5800 Ultra).La Radeon 9800 Pro de ATI con 256 MiB de memoria (no la versión de 128 MiB) usaba también GDDR2, porqueesta memoria necesita menos pines que la DDR. La memoria de la Radeon 9800 Pro de 256 MiB sólo va 20 MHzmás rápida que la versión de 128 MiB, principalmente para contrarrestar el impacto de rendimiento causado por sumayor latencia y su mayor número de chips. La siguiente tarjeta, la Radeon 9800 XT, volvió a usar DDR, yposteriormente ATI comenzó a utilizar GDDR3 en su línea de tarjetas Radeon X800 hasta la mayoría de la serieRadeon HD 4000.Actualmente, las tarjetas de nueva generación usan el formato GDDR5; por parte de ATi, las tarjetas de altorendimiento, algunas serie HD4000(solo la hd4870, hd4890 y la hd4770), las gamas medio-altas de las seriesHD5000 y HD6000, utilizan GDDR5. Por parte de Nvidia, las tarjeta gráficas de gama alta de las series 400 y 500

Referencias

Page 27: Memoria RAM

DDR3 SDRAM 25

DDR3 SDRAMDDR3 SDRAM (de las siglas en Inglés Double Data Rate type three Synchronous Dynamic Random-AccessMemory) es un tipo de memoria RAM, de la familia de las SDRAM usadas ya desde principios de 1970.[1][2]

Visión generalDDR3 SDRAM permite usar integrados de 512 MiB a 8 GiB, siendo posible fabricar módulos de hasta 16 GiB.

Características

Comparación gráfica entre memorias DDR,DDR2 y DDR3

Los DIMMs DDR3 tienen 240 contactos, es el mismo número queDDR2; sin embargo, los DIMMs son físicamente incompatibles,debido a una ubicación diferente de la muesca.[3]

Ventajas

• El principal beneficio de instalar DDR3 es la habilidad de poderhacer transferencias de datos más rápido,y con esto nos permiteobtener velocidades de transferencia y velocidades de bus más altasque las versiones DDR2.

•• Proporciona significativas mejoras en el rendimiento en niveles debajo voltaje, lo que lleva consigo una disminución global deconsumo eléctrico.

Desventajas

• No hay una reducción en la latencia, la cual es proporcionalmentemás alta.

HistoriaSe preveia, que la tecnología DDR3 pudiera ser dos veces más rápida que la DDR2 y el alto ancho de banda queprometia ofrecer DDR3 era la mejor opción para la combinación de un sistema con procesadores dual-core,quad-core y hexaCore (2, 4 y 6 núcleos por microprocesador). Las tensiones más bajas del DDR3 (1,5 V frente 1,8 Vde DDR2) ofrecen una solución térmica y energética más eficientes.Teóricamente, estos módulos podían transferir datos a una tasa de reloj efectiva de 800-2600 MHz, comparado conel rango del DDR2 de 400-1200 MHz ó 200-533 MHz del DDR. Existen módulos de memoria DDR y DDR2 demayor frecuencia pero no estandarizados por JEDEC.Si bien las latencias típicas DDR2 fueron 5-5-5-15 para el estándar JEDEC, para dispositivos DDR3 son 7-7-7-20para DDR3-1066 y 9-9-9-24 para DDR3-1333.

Desarrollo

2005

En 2005, Samsung Electronics anunció un chip prototipo de 512 MiB a 1.066 MHz (la misma velocidad de bus frontal del Pentium 4 Extreme Edition más rápido) con una reducción de consumo de energía de un 40% comparado con los actuales módulos comerciales DDR2, debido a la tecnología de 80 nanómetros usada en el diseño del DDR3 que permite más bajas corrientes de operación y tensiones (1,5 V, comparado con los 1,8 del DDR2 ó los 2,6 del

Page 28: Memoria RAM

DDR3 SDRAM 26

DDR). Dispositivos pequeños, ahorradores de energía, como computadoras portátiles quizás se puedan beneficiar dela tecnología DDR3.[4][5]

Lanzamiento

2008

En 2008, Kingston Technology, lanza los primeros módulos de memoria a 2GHz de la industria, para plataformasIntel Core i7.[6]

Predecesor

DDR2 SDRAM

DDR2 SDRAM (de las siglas en Inglés Double Data Rate type two Synchronous Dynamic Random-AccessMemory) es un tipo de memoria RAM, de la familia de las SDRAM.

Sucesor

DDR4 SDRAM

DDR4 SDRAM (de las siglas en Inglés Double Data Rate type four Synchronous Dynamic Random-AccessMemory) es un tipo de memoria RAM, de la familia de las SDRAM.

Visión detallada

Estándares[7]

Estos son los estándares de memoria DDR3 actualmente en el mercado:

Nombreestándar

Velocidad delreloj

Tiempo entreseñales

Velocidad del relojde E/S

Datos transferidos porsegundo

Nombre delmódulo

Máxima capacidad detransferencia

DDR3-1066 133 MHz 7,5 ns 533 MHz 1066 Millones PC3-8500 8530 MB/s

DDR3-1200 150 MHz 6,7 ns 600 MHz 1200 Millones PC3-9600 9600 MB/s

DDR3-1333 166 MHz 6 ns 667 MHz 1333 Millones PC3-10600 10 664 MB/s

DDR3-1375 170 MHz 5,9 ns 688 MHz 1375 Millones PC3-11000 11 000 MB/s

DDR3-1466 183 MHz 5,5 ns 733 MHz 1466 Millones PC3-11700 11 700 MB/s

DDR3-1600[8] 200 MHz 5 ns 800 MHz 1600 Millones PC3-12800 12 800 MB/s

DDR3-1866 233 MHz 4,3 ns 933 MHz 1866 Millones PC3-14900 14 930 MB/s

DDR3-2000 250 MHz 4 ns 1000 MHz 2000 Millones PC3-16000 16 000 MB/s

DDR3-2200 350 MHz 3,3 ns 1200 MHz 2200 Millones PC3-18000 18 000 MB/s

Page 29: Memoria RAM

DDR3 SDRAM 27

Tecnologías relacionadas

GDDR3La memoria GDDR3, con un nombre similar pero con una tecnología completamente distinta, ha sido usada durantevarios años en tarjetas gráficas de gama alta como las series GeForce 6x00 ó ATI Radeon X800 Pro, y es la utilizadacomo memoria principal de la Xbox 360. A veces es incorrectamente citada como "DDR3".

Referencias•• ^ JEDEC JESD 79-3B (section 6, table 21 and section 7, table 23)• ^ Soderstrom, Thomas (2007-06-05). "Pipe Dreams: Six P35-DDR3 Motherboards Compared". Tom's Hardware.

http:/ / www. tomshardware. com/ 2007/ 06/ 05/ pipe_dreams_six_p35-ddr3_motherboards_compared/ .

DDR4 SDRAMDDR4 SDRAM (de las siglas en Inglés Double Data Rate type four Synchronous Dynamic Random-AccessMemory) es un tipo de memoria RAM, de la familia de las SDRAM usadas ya desde principios de 1970.[1]

Visión generalLas memorias DDR4 SDRAM están actualmente (2013) en fase de producción.

CaracterísticasLas memorias DDR4 SDRAM tendrán un mayor rendimiento y menor consumo que las memorias DDRpredecesoras.[2] Tienen un gran ancho de banda en comparación con sus versiones anteriores.[cita requerida]

Ventajas y desventajas

Ventajas

Respecto a DDR2 y DDR3

Sus principales ventajas en comparación con DDR2 y DDR3 son una tasa más alta de frecuencias de reloj y detransferencias de datos (2133 a 4266 MT/s en comparación con DDR3 de 800M a 2.133MT/s),[3] la tensión estambién menor a sus antecesoras (1,2 a 1,05 para DDR4 y 1,5 a 1,2 para DDR3) DDR4 también apunta un cambio enla topología descartando los enfoques de doble y triple canal, cada controlador de memoria está conectado a unmódulo único.[4][5]

Page 30: Memoria RAM

DDR4 SDRAM 28

Desventajas

•• No es compatible con versiones anteriores por diferencias en los voltajes, interfaz física y otros factores.

Historia

Desarrollo

2005

La JEDEC (Joint Electron Device Engineering Council), empezó a trabajar en el desarrollo de DDR4 en el año2005,[6] 2 años antes del lanzamiento de DDR3 (2007).[7] Se había previsto terminar la arquitectura de DDR4 para elaño 2008, y desde 2007 como decia el futuro presidente de grupo DRAM del la JEDEC, llegaría a tiempo.[8]

2007

Algunas especificaciones se dieron a conocer en 2007.[9]

2008

En el año 2008, un invitado de Quimonda (Industria alemana de semiconductores) en el 'San Francisco IntelDeveloper Forum' anunció al público presente que DDR4 tendria una arquitectura a 30 nm a 1,2 voltios, confrecuencias de 2133 MHz y que su lanzamiento sería en 2012.[10][11][12]

Producción

2011

Sus especificaciones finales se dieron a conocer en el segundo semestre de 2011, antes de que Hynix produjese susprimeras memorias DDR4 SDRAM.[13]

LanzamientoSe espera que las memorias DDR4 SDRAM sean lanzadas al mercado en el año 2014, junto a chipsets y placas basecompatibles.[14][15][16]

Predecesor

DDR3 SDRAM

DDR3 SDRAM (de las siglas en Inglés Double Data Rate type three Synchronous Dynamic Random-AccessMemory) es un tipo de memoria RAM, de la familia de las SDRAM.

Referencias

Page 31: Memoria RAM

Fuentes y contribuyentes del artículo 29

Fuentes y contribuyentes del artículoMemoria de acceso aleatorio  Fuente: https://es.wikipedia.org/w/index.php?oldid=66266484  Contribuyentes: .José, 2009yac, 2rombos, Aadrover, Adriansm, Airunp, Alakasam, Alhen, Alitagm,Alonduro, Alvaro qc, Amadohc, Anetamp, Angel GN, Angus, Antur, Ascánder, Astonbreak, Açipni-Lovrij, Baiji, BeaKManiak, Bee Geesfan, Beto29, BetoCG, Biasoli, Bifus, BlackBeast, Bpk,Bryant1410, Camilo, Carlos Gregorio González, Carlosblh, Centeno, Cheveri, Chrihern, Cinabrium, CommonsDelinker, Cookie, Cousteau, Cristian Chávez Ramos, Crokett, DJ Nietzsche, Daaxe,Dangarcia, David0811, Davius, Death Master, Delphidius, Dibujon, Diego López, Diego bf109, Diegusjaimes, Diosa, Dnu72, Dodo, Dogor, Dondervogel 2, Dorieo, Dvdgmz, Dvelasquez, Dyon,ECAM, Edgemaster, Edisonbeta, Edslov, Eduardosalg, Edub, El duende alegre, Eli22, Elisardojm, Eloy, Eltumorestripado, Elwikipedista, Equi, Er Komandante, Esoya, Estoymuybueno,Ezarate73, FAR, Fcosegura, Felviper, Fergarci, Fieruky, Filipo, Filiprino, Folkvanger, Franeleven, Furti, Fvene002, FyLoX, Galio, GermanX, Goica, Goofys, Gothmog, Greek, Green182,Guillermoster, Gustavo.cuenca, Góngora, HUB, Haku, Halfdrag, Hari Seldon, Helmy oved, Hernanbarbosa, Hispa, Humberto, Icvav, Igna, Isha, Itnas19, JMPerez, JOKblogger, Jafeluv, Jafu53,Javierito92, Jcentel, Jdelrio, Jefferlds, Jkbw, Joanumbert, Joarobles, JojAGT, Jondel, Jorge 2701, JorgeGG, Joseaperez, Josell2, Jsanchezes, Jtico, Juandiegocano, Jugones55, Julio Cardmat,Kavira, Kekkyojin, Kexedo, Kismalac, Kordas, Kuronokoneko, Kved, Laura Fiorucci, Leonpolanco, Limo, Llfernandoll, Lobo, Locos epraix, Lourdes Cardenal, Lucasjk23, Lucien leGrey,Luigidakid, LuisArmandoRasteletti, MILTONPATO, Magister Mathematicae, Maldoror, Mansoncc, Manu Lop, Manuegonzalez, Manuel Trujillo Berges, Manwë, Maokoto, Marcecoro,MarcoAurelio, Matdrodes, Matiasasb, Maugemv, MeNtOrX, Mel 23, Miguel.lima, Miktam, Miss Manzana, Moriel, Mortadelo, Mortadelo2005, Mr. Benq, Muro de Aguas, Murphy era unoptimista, Museo8bits, Nelsolla, Netito777, Nicop, Niko guti2006, Nixón, Obelix83, OceanO, Ornitododo, Oscar ., Pabloab, Pablomdo, Pan con queso, Parras, PasajeRoverano, Patxi Aguado,Paz.ar, Pedro GarNic, Penesauro, Perquisitore, Phirosiberia, Pilaf, Platonides, Poco a poco, Ppja, Prietoquilmes, Pólux, Qwertyytrewqqwerty, Rabid Fish, Raf616, Rastrojo, Redmind007, Renly,Retama, Ricardogpn, Richy, Rickynoram, Rigenea, Roberpl, RoyFocker, RubiksMaster110, Rubpe19, STARPLAYER, SaeedVilla, Savh, Sebado, Sebrev, Sejorera, Shalbat, Shooke, Sms,Snakeyes, Soowly, Soulreaper, Sse4t4e, Stinger1, SuperBraulio13, Superchoto, Superzerocool, TArea, Taichi, Tano4595, Tantan1985, Taragui, Taty2007, Technopat, The worst user,Thunderbird2, Tico, Tolitose, Tomatejc, TorQue Astur, Tortillovsky, Triku, Truor, Txo, UA31, Unificacion, Uny, Varano, Vic Fede, Vitamine, Votinus, Víctor Antonio Torres Torres, Wilfredor,Will vm, Xqno, Yeza, Yio, Yrithinnd, Yubel, ZrzlKing, 1586 ediciones anónimas

DIMM  Fuente: https://es.wikipedia.org/w/index.php?oldid=65672517  Contribuyentes: ALTmas5, Adriannn, Alecorsaro, AlexFBP, Alonduro, Anginnok, Banfield, Biasoli, Charlitos, Chlewey,Chrihern, Cinabrium, Daikrieg, Dangarcia, EfeX, Fernandez1, Free-edit, GermanX, Gothmog, Hari Seldon, JMPerez, Jkbw, Juckar, Jynus, Leynar, Maldoror, Mariapf, Matdrodes, Miguelo on theroad, Mnts, Moriel, Murven, PabloBD, Paintman, Pianta333, Pilaf, Porao, Raidentk, Renebeto, Rsg, Sanbec, Taichi, Takashi kurita, Tigerfenix, Tirithel, Toxickore, Vitamine, Xenoforme,Xuankar, Zorak, Zulucho, 127 ediciones anónimas

SDRAM  Fuente: https://es.wikipedia.org/w/index.php?oldid=66277951  Contribuyentes: Aleposta, Alex.Garcia.R, Alhen, Alonduro, Antur, Açipni-Lovrij, Biasoli, Canyq, Chrihern,CommonsDelinker, Diegusjaimes, Digigalos, Emijrp, GermanX, Gothmog, Jkbw, KaneroWiki, Kved, L18r4, LlamaAl, Lucien leGrey, Manu 001, Petronas, Pueril, Rumpelstiltskin, Sapietin,Tixel, TorQue Astur, Wikisilki, Yago AB, 65 ediciones anónimas

DDR SDRAM  Fuente: https://es.wikipedia.org/w/index.php?oldid=64951742  Contribuyentes: -jem-, Aitorponce, Ajraddatz, Alejandrocaro35, AlexGalisteo, Alonduro, Angus,Axialcomunicaciones, BetoCG, Biasoli, Carutsu, Chico512, Cristhianfsilva, DFTDER, Dario nar, David0811, Digigalos, Dondervogel 2, Farisori, FedericoMP, Filex, Galio, GermanX, Götz,Hanjin, Hari Seldon, Isha, Kizar, Lagaly de, Leonpolanco, Magneto555, MartinDM, Martini, Matdrodes, Mnts, Museo8bits, Netito777, Pablo Alcayaga, Pacolope, Piero71, Queninosta, Raulul,Replayer, RoyFocker, Rumpelstiltskin, Santiperez, Sarajevo, SerPan, Shalbat, Siina, Tano4595, Themmanuel92, Thunderbird2, Tomasferrandis, Tomatejc, TorQue Astur, Tripy, UA31,VanKleinen, XanaG, Xoneca, Yrithinnd, Zulucho, 227 ediciones anónimas

DDR2 SDRAM  Fuente: https://es.wikipedia.org/w/index.php?oldid=66240126  Contribuyentes: Albireo3000, Aleator, Alonduro, Baiji, Bersacre, Biasoli, Bryant1410, Chamay, Charlitos,Chrihern, Cronos x, Daaxe, Dark Bane, Deleatur, Didac, Diegusjaimes, Edurnet, Egaida, El duende alegre, Elimedina, Er Komandante, F3NICI0, FrancoGG, Galandil, GermanX, Gramonso,Gusgus, Götz, HUB, Icvav, IvanStepaniuk, Javierito92, Jm.federico, Joseaperez, Josell2, Lolost, Loparcloba, Lourdes Cardenal, Lucien leGrey, Martini, Matdrodes, Mr.Ajedrez, Museo8bits,Netito777, OMenda, Orgullomoore, Patricio1985, Piero71, Pólux, Quantanew, RareCandy, Rato, Rbo159, Replayer, RoyFocker, Sacre bleu, Shalbat, SuperBraulio13, TArea, Tolagorn, TorQueAstur, Txo, Vbenedetti, Vivaelcelta, Xhuboli, Xosema, Yago AB, Zulucho, 207 ediciones anónimas

DDR3 SDRAM  Fuente: https://es.wikipedia.org/w/index.php?oldid=65804485  Contribuyentes: Aeoris, Albireo3000, Alonduro, Alvaro qc, Bachi 2805, Biasoli, Billygo, BlackBeast, Brianmel,Cesar016, Chichinho, Chrihern, Chuck es dios, ChurruKa, CommonsDelinker, Dark Bane, Dermot, Diegusjaimes, Digigalos, Dondervogel 2, Er Komandante, Ernestelim, Estebanp4, Fcosegura,Folkvanger, GermanX, Gonmator, Gothmog, Gustronico, Götz, Humberto, JMPerez, Jkbw, Juancitox, Kekkyojin, Kved, Manwë, Martini, Matdrodes, MaxBech1975, Mclaud2000, MissManzana, NeinGott, Neodop, Neustradamus, Petruss, Replayer, Rserraf, SuperBraulio13, TorQue Astur, Vmmf, Wamppa, 187 ediciones anónimas

DDR4 SDRAM  Fuente: https://es.wikipedia.org/w/index.php?oldid=65365078  Contribuyentes: Alonduro, Dark Bane, SuperBraulio13, 6 ediciones anónimas

Page 32: Memoria RAM

Fuentes de imagen, Licencias y contribuyentes 30

Fuentes de imagen, Licencias y contribuyentesArchivo:Kingston KVR1333 IMGP5970 wp wp.jpg  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:Kingston_KVR1333_IMGP5970_wp_wp.jpg  Licencia: GNU FreeDocumentation License  Contribuyentes: smial (talk)Archivo:Electronic Memory.jpg  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:Electronic_Memory.jpg  Licencia: Creative Commons Attribution 2.0  Contribuyentes: SteveJurvetson from Menlo Park, USAArchivo:4mbramvax.jpg  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:4mbramvax.jpg  Licencia: GNU Free Documentation License  Contribuyentes: Poil 12:38, 21 May 2005(UTC)Archivo:SIPP-Modul.jpg  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:SIPP-Modul.jpg  Licencia: Creative Commons Attribution-Sharealike 2.0  Contribuyentes: User Smial onde.wikipediaArchivo:SIMM-muistikampoja.jpg  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:SIMM-muistikampoja.jpg  Licencia: GNU Free Documentation License  Contribuyentes: J-PKärnäArchivo:RamTypes.JPG  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:RamTypes.JPG  Licencia: Creative Commons Attribution 3.0  Contribuyentes: KB AlphaArchivo:Memoria RAM.JPG  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:Memoria_RAM.JPG  Licencia: Public Domain  Contribuyentes: ChrihernArchivo:BGA RAM.jpg  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:BGA_RAM.jpg  Licencia: GNU Free Documentation License  Contribuyentes: User Smial on de.wikipediaArchivo:Motherboard diagram.jpg  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:Motherboard_diagram.jpg  Licencia: GNU Free Documentation License  Contribuyentes:en:User:MoxfyreArchivo:PC133a.JPG  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:PC133a.JPG  Licencia: Creative Commons Attribution-ShareAlike 3.0 Unported  Contribuyentes: Cuisinier2Archivo:SDR SDRAM-1.jpg  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:SDR_SDRAM-1.jpg  Licencia: Creative Commons Attribution 2.5  Contribuyentes: RoyanArchivo:Commons-logo.svg  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:Commons-logo.svg  Licencia: logo  Contribuyentes: SVG version was created by User:Grunt andcleaned up by 3247, based on the earlier PNG version, created by Reidab.Archivo:Desktop_DDR_Memory_Comparison.svg  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:Desktop_DDR_Memory_Comparison.svg  Licencia: Public Domain Contribuyentes: MartiniArchivo:Laptop_SODIMM_DDR_Memory_Comparison_V2.svg  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:Laptop_SODIMM_DDR_Memory_Comparison_V2.svg Licencia: Public Domain  Contribuyentes: Martini, TothwolfArchivo:DDRSDRAM400-1GB.jpg  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:DDRSDRAM400-1GB.jpg  Licencia: desconocido  Contribuyentes: Afrank99, D-Kuru,Denniss, H005, Jpk, Panoramafotos.net, Solomon203Image:Desktop_DDR_Memory_Comparison.svg  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:Desktop_DDR_Memory_Comparison.svg  Licencia: Public Domain Contribuyentes: MartiniArchivo:RAM 1GB with cooler.jpg  Fuente: https://es.wikipedia.org/w/index.php?title=Archivo:RAM_1GB_with_cooler.jpg  Licencia: Creative Commons Attribution-ShareAlike 1.0 Generic Contribuyentes: User:Fabexplosive

Page 33: Memoria RAM

Licencia 31

LicenciaCreative Commons Attribution-Share Alike 3.0 Unported//creativecommons.org/licenses/by-sa/3.0/