Sistemes Electrònics Dosier de...

148
Sistemes Electrònics Dosier de Pràctiques José Antonio Soria Pérez Departament d’Enginyeria Electrònica Escola Politècnica Superior d’Enginyeria de Vilanova i la Geltrú

Transcript of Sistemes Electrònics Dosier de...

Page 1: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

Sistemes Electrònics Dosier de Pràctiques José Antonio Soria Pérez Departament d’Enginyeria Electrònica Escola Politècnica Superior d’Enginyeria de Vilanova i la Geltrú

Page 2: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

1

Índex de continguts

Pràctica 1. Instrumentació del Laboratori d’Electrònica…………………….…………..…2

Pràctica 2. Domini Temporal i Freqüencial……………………………….…………….…26

Pràctica 3. Introducció a les Fonts d’Alimentació..……………………….……………....53

Pràctica 4. Electrònica de Commutació: El Transistor Bipolar (BJT) ………………….73

Pràctica 5. Electrònica Analògica: L’Amplificador Operacional (OPAMP) i les aplicacions d’Àudio……….………………………………………………………………….103

Pràctica 6. Electrònica Digital: I el mon dels ordinadors………………..….………..…128

Page 3: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

2

Pràctica 1. Instrumentació del Laboratori d’Electrònica Objectiu: Aprendre a usar correctament els diferents instruments del laboratori per realitzar mesures elèctriques, tan en corrent contínu (DC) com en corrent altern (AC), i familiaritzar-se amb el muntatge de circuits electrònics senzills. Aquestes capacitats es consideren VITALS tant per la resta de les activitats de laboratori del curs com per desenvolupar les habilitats técniques de la vostra carrera.

Per tant, es tracta de que observeu i experimenteu amb els controls i botons dels equips que es descriuen a continuació i que es poden classificar en els dos grups principals següents:

• Els que generen estímuls ó senyals: Fonts d’alimentació ó font DC, per generar corrent continu i Generador de Funcions ó font AC, per generar senyals amb formes d’ona variable en el temps.

• Els equips de mesura: El Multímetre que conté un óhmetre, un voltímetre i un amperímetre per mesurar paràmetres elèctrics de repòs i l’Oscil·loscopi que serveix per visualitzar senyals variables en el temps i realitzar mesures de les mateixes.

. 1 Font d’alimentació

Aquest instrument s’encarrega de lliurar energía elèctrica al circuit en forma de corrent continu (ó DC). Als laboratoris n’hi ha dos models diferents: 1) el IPS2303DD d’ISO-TECH (Fig. 1a) que es troba al L-104; i 2) el E3631A d’Agilent (Fig.1b) que es troba al L-106. Els dos aparells tenen dues sortides idéntiques i ajustables (quadre vermell), de 0 a 30V/3A i de 0 a 25V/2A, respectivament, i un altre font auxiliar (quadre taronja) de 5V/5A (en el E3631A es de 6V/5A i ajustable).

Quan es connecten les sortides els indicadors, formats per Displays, indiquen la tensió fixada i el corrent entregat al circuit de prova. La configuració d’aquesta tensió així com el límit de corrent màxim permès es realitza a travès dels controls indicats en el quadre blau. No obstant, el procediment varia segons el model de l’aparell.

(a) (b) Figura 1. Fonts d’alimentació dels laboratoris: a) IPS 2303DD d’ISOTECH (L-104); b) E3631A d’Agilent (L-106).

Page 4: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

3

Activitat LAB1: Configuri una de les sortides de la font per limitar el corrent a 0.25A i treballar a 5V. Procedeixi segons model de la font que tingui al davant del seu lloc de treball.

Ajust del límit de corrent de sortida:

• IPS2303DD: Amb l’aparell desconnectat, 1) Connecti un cable entre el borne positiu i el borne negatiu de la sortida que vulgui configurar; 2) Engegui l’instrument (botó POWER) i obervi el valor de corrent que marca el display; 3) Ajusti amb el potenciòmetre (CURRENT) a 0.25A; 4) Desconnecti els cables de les bornes de sortida.

• E3631A: En aquest model NO ÉS NECESSARI connectar un cable entre els pols positiu i negatiu, respectivament. 1) Engegui la font (botó POWER); 2) Seleccioni CURRENT del menu ADJUST situat a la dreta de l’aparell (un digit del indicador de corrrent parpadeja); 3) Utilitzi els botons ‘<’ i’ >’ per moure’s pels dígits i varii el valor de cada un d’ells amb el potenciòmetre de manera que marqui 0.25A; 4) En acabar, la sortida queda fixada a aquest valor.

Ajust de la tensió de sortida:

• IPS2303DD: 1) Amb l’aparell engegat i la sortida al buit, configuri 5V mitjançant el potenciòmetre (VOLTAGE) de la font corresponent. Asseguri’s que s’observa aquest valor al display.

• E3631A: 1) Seleccioni VOLTAGE del menu ADJUST situat a la dreta de l’aparell (un digit del display corresponent parpadeja); 3) Utilitzi els botons ‘<’ i’ >’ per moure’s pels dígits i usi el potenciòmetre per ajustar a 10V. Al acabar, la sortida queda fixada a aquest valor.

En aquest punt, l’instrument està preparat per ser utilitzat. No obstant, i per seguretat, es recomenable fer les connexions de les bornes amb les sortides desactivades, i desprès activar l’aparell. En el E3631A, això es pot realitzar sense necessitat d’apagar-lo, mitjançant el botó OUTPUT ON/OFF (Simplement, al pulsar-lo el display mostra el missatge OUTPUT OFF, a continuació es realitzen les connexions pertinents i finalment es tornar a pulsar el botó de nou per activar la sortida). En canvi, en el IPS2303DD les connexions s’hauran de fer amb l’aparell apagat, i prèrmer el botó POWER desprès de realitzar les connexions.

NOTA: Heu d’anotar les dades d’aquesta i totes les activitats que feu al FULL DE RESULTATS que hi ha a l’Annexa 1 d’aquest document, i que heu d’entregar al final de la sessió de laboratori.

2 Multímetre

El multímetre (Fig. 2) és un instrument de mesura que integra un óhmetre, un voltímetre i un ámperimetre i que, per tant, pot mesurar resistència, tensió i corrent (a més d’altres paràmetres auxiliars relacionades amb aquestes variables).

Page 5: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

4

Figura 2. Multímetre digital del L-104 i L-106, model 34401A d’Agilent Technologies

Dels terminals de l’instrument (quadre vermell), la connexió marcada amb VΩ serveix per mesurar tensió i resistència mentre que la borna ‘Fuse on Rear Pannel’ pertany a la connexió de mesura de corrent. Per altra banda, el color vermell (ó l’etiqueata HI) indica que es tracta del borne POSITIU (+) mentre que el borne central de color negre (LO) correspon al borne negatiu (-) que és “commú” a totes les tres variables de mesura.

La funció de l’aparell es configura mitjançant la botonera (quadre verd) polsant al botó corresponent. La funció indicada en blau a sobre de cada botó es configura prement SHIFT i desprès polsant-lo. Així, si es vol mesurar resistència, tensió ó corrent continu en el temps (DC); es tindrà que prémer Ω, DC V ó DC I (SHIFT > DC V), respectivament.

En general, el multímerte està pensat per visualitzar paràmetres invariables amb el temps ja que nomès disposa d’un display per mostrar informació al usuari. No obstant, si el senyal varia en el temps i l’instrument està configurat en DC (DC V ó bé DC I) l’instrument mostra el ‘valor mig’, mentre que si està configurant en mode AC (AC V ó bé AC I) mostra el ‘valor eficaç’ verdader1. Teòricament, el valor mig de qualsevol senyal x(t) variable en el temps es calcula com

( )∫=T

dttxT

x ,1 (1)

on T correspon a l’interval2 de càlcul utilitzat per l’instrument, mentre que el valor eficaç és

1 Alguns multímetres nomès poden mesurar el valor eficaç de senyals “sinusoïdals” ja que, en aquest cas, nomès cal dividir el valor de màxim (o de pic) per 1.4142. D’aquí que els fabricants es refereixin al valor “verdader” (ó true-rms en anglès) si l’instrument realment conté la circuiteria necessària per implementar (2). Cal remarcar,però, que la seva aplicació es restringeix nomès en l’àmbit dels senyals periòdics, ja que nomès així es possible donar aquesta informació a l’usuari mitjançant el display. 2 El valor de T el fixa automàticament. En DC aquest valor pertany al refresc del multímetre i que es caracteritza per ser una finestra temporal (ó interval) configurable d’on es calcula el valor mig, i que s’aplica successivament. En mode AC la configuració de T és automàtica i es realitza amb un sistema sofisticat que detecta el cicle repetitiu del senyal. Consulteu el manual del fabricant per més informació sobre aquest tema.

Page 6: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

5

( ) .1 2∫=Trms dttx

Tx (2)

Per últim, destacar que el 3441A és un model d’altes prestacions que presenta una molt bona resolució i precissió, fet que es pot constatar pel fet de ser autoconfigurable en bastants aspectes, entre ells: presenta un canvi de fons d’escala automàtic (quadre groc) i permet seleccionar el punt decimal de manera intuitiva amb els botons ‘<’ i ‘>’.

Activitat LAB2: Aprengui a usar el multímetre per mesurar paràmetres elèctrics i familiritzis amb els controls principals de l’instrument:

Mesura de resistència elèctrica

• 1) Identifiqui cadascuna de les cinc resitències diferents que hi ha a la pràctica segons el codi de colors (Annex 2). 2) Mesuri el valor de cada resistència amb l’óhmetre. Per realitzar la mesura, engegui l’instrument i seleccioni Ω amb la botonera (al prémer aquest botó el display marca MOHM). 4) Per últim connecti el component tal i com s’indica a la Fig. 3 i observi el resultat. 5) Repeteixi per cada resistència.

• Determini la desviació del valor obtingut respecte al seu valor nominal (indicat pel codi de colors) en base a l’expressió següent, i comprovi que està dintre de la tolerància indicada pel fabricant.

( ) 100%min

min ×−

=alNo

alNoÓhmetre

RRR

ERROR (3)

NOTA: Cal desactivar el botó TERMINALS del panell de la dreta per tal d’activar el panell frontal.

Mesura de tensió DC

• Amb els 5V de la font configurada a LAB1 mesuri aquesta temsió amb el voltímetre. Segueixi el següent procès: 1) Apagui tots dos aparells; 2) Realitzi les connexions tal i com es mostren a la Fig. 4a; 3) Posi el multímetre en marxa i configuri’l com a voltímetre mitjançant DC V (el display marca “VDC”); 4) Per últim, Posi en marxa la font.

• Repeteixi la mateixa operació intercanviant les connexions del multímetre (Fig. 4b)

Mesura de corrent DC

• Mantenint la configuració de la font de 5V i connectant-la a una resistència de 1 Kohm (Fig. 5), mesuri el corrent que hi circula amb l’amperímetre. Com abans, 1) Apagui els aparells. Realitzi les connexions de la Fig. 5a; 2) Posi en marxa el multímetre i configuri’l com amperímetre fent SHIFT > DC V (el display marca ADC); i 3) Posi en marxa la font.

• Igual que abans, intercanvïi la possició la connexió dels cables del multímetre (Fig. 5b)

Page 7: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

6

Figura 3. Configuració del multímetre i connexió de les bornes per mesura de resistència elèctrica.

(a) (b) Figura 4. Exemple de configuració i connexió del multímetre per mesura de tensió elèctrica; a) Connexió directa; b) Canvi de polaritat.

(a) (b) Figura 5. Exemple de configuració i connexió del multímetre per mesura de corrent elèctric en una resistència. Les fletxes indiquen en sentit de circulació del corrent a) Connexió directa; b) Canvi de polaritat.

Page 8: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

7

3 De l’esquema elèctric al Proto-board

Arribat a aquest punt, esdevé necessaria la utilització d’una simbologia per representar els instruments de laboratori i els components electrònics en general, així com la seva connexió. Això és indispensable si es vol arribar a conèixer el funcionament dels prototips electrònics que es veuran d’ara en endavant, i que tant indispensable és per l’anàlisi i el disseny de sistemes electrònics més complexos.

La Fig. 6 mostra el símbol dels elements vistos fins ara així com la convenció de les connexions. En general, cada element és un bipol i els seus terminals poden connectar a d’altres bipols. En el cas dels instruments (Fig. 6a i 6b) el signe + indica el pol positiu. La resistència utilitza la convenció de la Fig. 6c. Les connexions s’indiquen amb un “punt” quan hi ha tres ó més connexions, mentre que el “pont” (ó l’omisió del punt) és un creuament sense connexió (NC). Per últim, el terminal de terra (GROUND; Fig. 6e) és un punt comú de referència del circuit.

Així, una un esquema elèctric possible del sistema de mesura de corrent de la Fig. 5 es representa a la Fig. 7. La fletxa indica el sentit de circulació de I1 que va del positiu al negatiu de la font. Convencionalment, com a positiu de la caiguda de tensió a la resistència (V1) s’agafa el seu punt d’entrada de corrent (pol superior). Per últim, font VCC, amperímetre (A) i resistència (R1) formen una malla, mentre que un node és un punt de connexió de, com a mínim, dos terminals.

(a) (b) (c)

(d) (e) Figura 6. Símbols bàsics utilitzats en la representació d’esquemes elèctrics.

(a) (b)

Figura 7 Esquema elèctric de les configuracions de la Fig.5 i convencions per la representació de variables elèctriques dels components electrònics; a) Fig. 5a; b) Fig 5b.

Page 9: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

8

Aquesta designació es relaciona amb el funcionament del multímetre. Per defecte, l’amperímetre agafa com a positius els corrents que ENTREN pel seu pol positiu3. De manera que en el primer cas (7a) el valor indicat al “display” coincidirà exàctament amb I1, mentre que en el cas contrari (Fig. 7b) tenim el signe canviat –I1.

Un altre element no considerat un instrument, però igual o més necessari encara en la experimentació electrònica, és la placa de proves (Fig.8). Aquesta rep el nom de proto-board, ó bé, bread-board, i és on normalment es munten i verifiquen els prototips de disseny abans de portar-ho a un circuit imprès (PCB – Printed Circuit Board).

La placa de proves conté principalment cinc bornes sense connexió, dos columnes de connexió vertical (a esquerra i dreta); i dos files de connexió horitontal (a dalt i abaix). A més en la part central hi ha sis grups de cel·les (de la A a la F), de 47 x 5 forats cadasqun, amb connexió horitzontal (però separat entre grups) i sense connexió vertical. Aquesta distribució cal tenir-la en compte alhora d’implementar un prototip elèctronic, prèviament dissenyat en un esquema elèctric. Principalment, cal seguir un ordre i tenir cura tant en la col·locació de components com en les connexions. Entre aquestes consideracions, destaquen usar les bornes per connectar els terminals comuns dels instruments al punt de terra, utilitzar el mínim nombre de cables possibles en el muntatge aprofitant forats comuns en el bread-board, realitzar una distribució d’elements semblant a la de l’esquema elèctric, i utilitzar diferents colors de cables per designar el tipus de connexió (i.e: el negre per la connexió de terra, el vermell per l’alimentació positiva + i el gris per la negativa -). D’aquesta forma es poden estalviar errors de connexió i la detecció de problemes de funcionament resulta més ràpida i fàcil.

(a) (b)

Figura 8. Placa de proves amb bornes sense connexió: a) Vista superior; b) Connexió interna dels forats

3 EL mateix criteri es pot aplicar també al voltímetre, que agafa com a positives les tensions causades per corrent ENTRANT al seu pol positiu i negatives las causades pel corrent SORTINT del pol positiu.

Page 10: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

9

Activitat LAB3: Construeixi els circuits senzills de la Fig. 9 en la placa de proves i mesuri la resistència equivalent de cadasqun d’ells:

• Per realitzar el primer muntatge; 1) Monti el circuit en base a la distribució indicada a la Fig. 10; 2) Calculi teòricament el valor de resistència total entre els punt A i B; i per últim; 3) Mesuri la resistència i compari amb el valor calculat.

• Per la resta de muntatges (Fig. 9b i 9c), 1) Consulti la informació de la Fig. 8 per deterimnar les connexions que tindrà que realitzar; i desprès 2) procedeixi al càlcul i la mesura de resistència com en el cas 9a.

NOTA: La resistència elèctrica entre dos punts, A i B, es mesura DESCONNECTANT TOTES LES FONTS i connectant l’óhmetre EN PARAL·LEL amb el component. Durant la mesura, MAI s’ha de tocar les bornes amb les mans.

Activitat LAB4: Usant una font d’alimentació (VCC = 5V) en el circuit sèrie (Fig. 11a) mesuri el corrent de la branca, I, i totes les tensions dels components resistius, V1 i V2.

Mesura de I:

• Abans de muntar el circuit, 1) Possi en marxa la font i configuri-la a 5V, si és que no ho està ja; 2) Aseguri’s que el multímetre està configurat com amperímetre (DC I) i apagui els dos aparells. 3) Munti el circuit de la Fig. 11b connectant també els instruments (o bé ajudis per la distribució indicada a la Fig. 12a si creu que ho necessita), sobretot, aseguri’s que utilitza el borne FUSE ON REAR PANEL com a positiu de l’amperíemtre; 4) Posi en marxa tots dos aparells i anoti el corrent, I, mesurat. 5) Calculi el seu valor teòric i comprovi que tots dos són coincideixen.

Mesura de V1 i V2:

• Mesura de V1: 1) Apagui la font i desconnecti l’amperímetre; 2) Configuri el multímetre com a voltímetre (DC V); 3) Munti el circuit de la Fig. 11c (o bé ajudis de la Fig. 12b). Igualment, connecti els instruments asegurant-se que usa el borne VΩ com a positiu del voltímetre; 3) Posi en marxa tots dos aparells i anoti la tensió V1 mesurada.

• Mesura de V2: 1) Sense apagar la font, desconnecti el voltímetre del circuit i connecti’l sobre R2 per mesurar V2 (Fig. 11d); 2) De nou comprovi que valor teòric i mesurat coincideixen. NOTA1: Per mesurar CORRENT elèctric en una branca cal OBRIR el circuit i connectar l’amperímetre EN SÈRIE. Per mesurar caiguda de TENSIÓ sobre un component el voltímetre es connecta EN PARAL·LEL.

Page 11: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

10

(a) (b) (c)

Figura 9. Esquema elèctric dels circuits de l’activitat LAB3: a) Associació sèrie; b) Associació paral·lel; c) Connexió sèrie-peral·lel.

(a) (b)

Figura 10. Exemple de muntage en Bread-Board del Circuit sèrie (Fig. 9a). a) Esquema elèctric; b) Muntatge en el Bread-Board

(a) (b)

(c) (d)

Figura 11. Esquema elèctric dels circuits de l’activitat L4: a) Esquema elèctric general; b) Connexió per mesurar el corrent I; c) Connexió per mesurar el voltatge V1; d) Connexió per mesurar el voltatge V2.

Page 12: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

11

(a) (b)

Figura 12. Dos possibles muntatges en Bread-Board per mesurar tensió i corrent en el circuit de la Fig. 11a. a) Mesura del corrent I; b) Mesura de la tensió V1.

NOTA2: NO DESMUNTI EL CIRCUIT ja que el tornarà a utilitzar en els següents apartats.

4 El Generador de funcions

El generador de funcions és un instrument que genera senyals de tensió periódiques4 i variants en el temps. A l’escola es poden trobar fins a tres models de diferents fabricants depenent del laboratori i lloc de treball (Fig. 13): a) el 33220A d’Agilent; b) el GF-855 de Promax; i el c) HM8130 de Hameg.

El seu símbol esquemàtic pot variar per indicar la forma d’ona (Fig. 14a). En general, s’han de configurar un mínim de qüatre paràmetres diferents (Fig. 14b): 1) la forma d’ona del senyal (FUNC: que pot ser triangular, qüadrada, sinusoïdal ó en forma de pols5); 2) l’amplitud (AMP: rang de variació de tensió, respecte la referència “zero” de tensió; 3) la freqüència (FREQ: vegades que es repeteix la forma del senyal en un segon); i 4) l’offsett (OFF: nivell DC de desplaçament sobre la referència “zero” de tensió). Per suministrar el senyal al circuit s’utilitza el borne de sortida de tipus BNC6, etiquetat amb el valor de 50Ω (ó 60Ω en alguns models) i que fa referència a la resistència interna de sortida del generador.

Activitat LAB5: Aprengui a usar el generador de funcions i familiaritzis amb els seus controls i mètode de configuració. Configuri un senyal sinusoïdal de 5V d’amplitud (sense OFFSET) i 100Hz de freqüència i mesuri el seu valor eficaç amb el multímetre (Fig. 15). El procès pot ser lleugerament diferent en un altre model:

4 S’entén com a “periòdic” aquell senyal que repeteix el patró, o forma d’ona, al llarg del temps de funcionament. 5 Per brevetat, no es considerarà aquest tipus de forma d’ona que està relacionada amb la sortida TTL, i que requereix de la configuració d’altres paràmetres addicionals que estan fora de l’objectiu principal d’aquest tutorial 6 BNC són els acrònims de Bayonet Neill-Concelman referent als seus inventors i també el tipus de tancament utilitzat en la connexió (en forma de bayoneta), i que es caracteritza per ser de ràpida connexió i més immune a sorolls.

Page 13: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

12

(a) (b)

(c)

Figura 13. Generadors de funcions de l’EPSEVG: a) 33220A d’Agilent al L-106; b) GF-855 de Promax al L-104; c) HM8130 de Hameg al L-104.

(a) (b)

Figura 14. a) Símbols utilitzats en l’esquema el’elèctric per al generador de funcions (de esquerra a dreta: genèric, ona sinusoïdal, ona quadrada, ona triangular, ona en forma de pols); b) Paràmetres de tensió i de temps que es poden configurar amb aquest instrument (FUNC = Foma d’ona; AMP = Valor de pic; FREQ = freqüència; OFF = offset de tensió DC).

Figura 15. Connexió de generador i multimetre per mesurar tensió en alterna (AC).

Page 14: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

13

• 1) Posi en marxa el generador i el multímetre mitjançant la tecla POWER. 2) Al generador, seleccioni senyal de tipus sinusoïdal, mitjançant la tecla FUNC(TION) (quadre vermell) o bé seleccioni l’opció SINE si utilitza el model 33220A. 3) Seleccioni l’opció FREQ i usi el teclat (quadre blau), o bé moguis pels dígits de l’indicador (quadre taronja) amb els botons i la roda per configurar 100Hz (quadre verd). 4) Repeteixi el punt 3) seleccionant AMP(L) i configuri 10V pic-a-pic, ó be 5V segons el model de generador que utilitzi. 5) Finalment, repetiexi l’operació amb l’”offset” (OFF) per configurar +0V. 6) Connecti un cable BNC-banana entre el generador de funcions i el multímetre. Asseguri’s que usa la sortida de 50Ω del generador i connecta el positiu del cable al terminal VΩ del multímetre. 7) Seleccioni l’opció de mesura AC V. 8) Finalment, habiliti la sortida del generador de funcions mitjançant el botó OUTPUT(ON) i anoti la mesura (en el GF-855 no cal aquest darrer pas). NOTA: Pels laboratoris de l’escola, cada dia passen molts estudiants que van alterant les configuracions dels instruments continuament, generador inclós. Això pot alterar el procediment de configuració que s’acaba d’explicar. Si aquest és el cas, una possible solució és carregar prèviament la configuració de fàbrica (El model HM1380 no incorpora aquesta opció) seguint les següents instruccions: 33220A: Botó Store/Recall > Opció Set to Default (Botonera blava). GF-855: Botó Shift (blau) > Botó Store

Activitat LAB6: Substitueixi la font d’alimentació pel generador en el circuit de la Fig. 11 i repeteixi les mesures de tensió i corrent en AC (rms), mantenint la configuració del generador que tot just acaba de realitzar.

NOTA: A mode general, l’expressió teorica per una forma d’ona sinusoïdal s’escriu de la següent manera:

( ) ( ) ( )max sin 2 sin 22 2OFF

OFF AMPv t V V ft FREQ tπ π = + = + × × × ×

(4)

5. L’oscil·loscopi

L’oscil·loscopi és l’instrument més sofisticat que hi ha als laboratoris de l’escola ja que conté una gran qüantitat de tecles, botons i selectors; la gran majoria d’ells, específics i necessaris per poder visionar per pantalla els senyals temporals que es sensen a travès de les entrades.

Als laboratoris de l’escola es poden trobar dos models (Fig. 16). El DSO3062A d’Agilent, i el HP54603B de Hewlett Packard. Tots dos són digitals i disposen d’una àmplia gama de funcions. Aquest tutorial, però, se centrarà en el primer model ja que és el majoritari en el laboratori L-104 i L-106, tot i que els procediments que es veuran a continuació són fàcilment exportables al segon model.

Page 15: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

14

(a) (b)

Figura 16. Models dels oscil·loscopis disponibles als laboratoris de l’EPSEVG. a) DSO3602A d’Agilent; b) HP54603B de Hewlett Packard

Al panell frontal del DSO3062A trobem dos zones clarament diferènciades: un és la pantalla que s’usa per visionar els senyals mesurats i l’altre és la secció de controls de configuració de l’instrument (Fig. 17). Aquest oscil·loscopi pot representar simultàneament DOS senyals diferents mitjançant les bornes d’entrada, Canal CH1 i CH2 respectivament, que estan situats a la part de sota dels controls (quadre vermell). Per cadascun dels canals s’utilitza un cable BNC-banana (ó sonda) que es connecta al circuit.

Per configurar l’instrument i veure el senyal corréctament és molt important saber que signifiquen cadasqun dels símbols, i el texte que apareix per pantalla, ja que això permet configurar l’instrument 7 per visualitzar adequadament els senyals. Els dos valors de la part inferior esquerra de la Fig. 17a indiquen la resolució de l’eix vertical per cada canal (segons el seu color groc o verd), 100mV/, mentre que el valor en blanc inmediatament a la dreta indica la resolució de l’eix horitzontal, 1μs/. Per altra banda, les fletxes numerades en la part central esquerra situen la referència ZERO de tensió a la pantalla de cada canal, mentre que la fletxa de la part central superior (T; referent al mecanisme de TRIGGER) indica el punt temporal de fixació8, ó sincronisme, que permet fixar les senyals dintre de la pantalla.

Com a exemple, si la configuració de sensibilitat de tensió és 100mv-per-divisió (ó bé 100mV/) el rang de tensió visible a la pantalla (per 8 quadres en vertical; és a dir, 8/) serà de 800mV pic-a-pic (ó bé 400mV de pic), mentre que per una sensibilitat de 1μs/ la finestra temporal visble (per 12/) serà de 12μsegons.

7 Per brevetat, tampoc s’explicaran totes les funcions de que disposa l’oscil·loscopi. Podeu trobar més informació al manual de l’instrument que està disponible al campus Atenea. 8 L’oscil·loscopi digital treballa en TEMPS REAL. Això implica que CONTINUAMENT agafa valors de l’entrada. Com que no disposa d’un buffer molt gran per guardar totes les mostres, ni tampoc la pantalla és gaire ample, l’usuari ha d’especificar quin tram del senyal vol veure. Aquesta és, precisament, la funció d’aquest mecanisme, el qual, indica el PUNT D’INICI de representació del senyal periòdic malgrat que, en realitat, el senyal estigui sent contínuament mostrejada per aquest instrument al llarg del seu temps de funcionament.

Page 16: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

15

(a) (b)

Figura 17. Panell frontal de l DS3602A. a) Pantalla de l’oscil·loscopi i (b) controls de configuració. Cada color referencia a un grup de comandaments específics de la dreta i a la seva configuració corresponent indicada a la pantalla. Verd per la sensibilitat de tensió, lil·la per la sensibilitat de temps i taronja per al TRIGGER, entre d’altres.

Els paràmetres de tensió es configuren amb els controls del menú VERTICAL (quadre verd de la Fig. 17b). L’activació del canal CH1 i/o CH2 per pantalla es realitza per mitjà dels botons 1 i 2, respectivament. Per modificar el “punt de 0 V” cal actuar en la roda situada a la part inferior d’aquest grup, mentre que per la sensibilitat del “grid” vertical es modfica amb els selectors superiors.

Per altra banda, els controls de configuració dels paràmetres de la finestra temporal corresponen al menú HORIZONTAL (quadre lil·la). L’ample de la finestra es modifica mitjançant el sel·lector de l’esquerra, mentre que per desplaçar-se al llarg de l’ona, enmagatzemada en memòria, s’utilitza el potenciòmetre de la dreta.

Activitat LAB7: Aprengui a configurar i usar correctament l’oscil·loscopi per visionar senyals de tensió seguint les instruccions indicades a continuació:

Configuració bàsica:

• 1) Posi en marxa el generador de funcions i configuri’l amb un senyal sinusoïdal de 5V de pic i els 100Hz de l’apartat anterior, si és que no ho està ja. 2) Connecti un cable BNC-BNC des de la sortida del generador (50Ω) a l’entrada CH1 (marcada amb una “X”) de l’oscil·loscopi (Fig. 18). 3) Posi en marxa l’oscil·loscopi i, quan apareixi la pantalla inicial (Fig. 17a) realizi els següents passos necessaris per visionar el senyal correctament:

A) Panell vertical > “1” > Acoblament > GND: El senyal despareix de la pantalla i apareix una linea indicant el “0” de tensió. Usi la rodeta groga inferior per situar aquesta línia al mig de la pantalla.

Page 17: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

16

Figura 18. Connexió entre generador de funcions i oscil·loscopi per visualització de senyals

B) Panell vertical > “1” > Acoblament > DC: El senyal torna a aparèixer per

pantalla. C) Panell vertical > VOLTS/ (Sel·lector superior groc): Modifiqui la resolució

del grid vertical fins que a la pantalla marqui 2V/ D) Panell horitzontal > TIME/ (Sl·lector esquerre): Modifiqui la resolució del

grid horitzaontal fins que a la pantalla marqui 1mseg/

NOTA: En aquets punt es tindria que observar la forma d’un “sinus” centrada a la pantalla, amb un ample de 10 posicions (ó quadres) horitzontals, ja que el període T = 1/FREQ = 10mseg, i un rang de variació vertical de 5 posicions (2.5 a banda i banda del “0” de tensió).

Configuració del TRIGGER:

• 1) Accedeixi al menú del TRIGGER de l’oscil·loscopi mitjançant el botó “Mode/Coupling” situat a la part dreta del panell (quadre taronja). Seleccioni les següents opcions del menú de la pantalla: Mode(1) = “Borde”. Font = “CH1”. Pendent = “Ascendent” . Mode(2) = “Auto”. Acoblament = “DC”. 2) En acabar, utilitzi el potenciòmetre LEVEL: una línia discontínua i un texte, indicant el nivell de tensió en DC del mecanisme, apareix per pantalla. 3) Situi aquesta línea a “2V” i observi com es desplaça l’ona.

NOTA: En realitat, TRIGGER és el mecanisme on el usuari indica a l’oscil·loscopi en quin punt ha de començar a representar el senyal (marcat a la part superior central de la pantalla amb una “T”) sense que aquesta es mogui per la pantalla. Això inclou saber el senyal de referència utilitzat (CH1 ó CH2), quin nivell de tensió s’agafar (ó “threshold”, en anglès) ó quin és el flanc utilitza per la detecció (pujada o baixada), entre d’altres.

Page 18: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

17

Configuració de l’acoblament:

• 1) Canviï el nivell d’“offset” del generador OFF = 2V del senyal sinusoïdal que havia configurat prèviament. 2) Utilitzi el LEVEL del TRIGGER per estabilitzar la visió a la pantalla. 3) Adeqüi l’eix vertical de manera que l’ona quedi el MÉS GRAN possible a la pantalla, i l’eix horitzontal per observar 6 CICLES complets.

• Configuri l’oscil·loscopi an acoblament AC (Panell vertical > “1” > Acoblament > AC). Comenti com varia el resultat:

NOTA: L’acoblament és un mecanisme de l’oscil·loscopi que permet OCULTAR (NO SUPRIMIR) la component mitja (ó DC) d’un senyal. D’aquí que el senyal quedi centrat a la pantalla, malgrat tenir 2V d’offset. Això succeeix en mode AC. El mecanisme és molt útil per observar components petites solapades a d’altres components DC de magnitud més gran i que, de vegades, resulten més importants per entendre el funcionament dels sistemes electrònics.

NOTA: Les configurcions explicades en aquesta activitat es consideren ESSENCIALS per saber utilitzar l’instrument i, segurament, les haureu de repetir moltes vegades al llarg del curs. Totes elles també s’apliquen al canal CH2, el qual, es pot observar simultàneament amb CH1. Al igual que el generador, al arribar al laboratori us podeu trobar una configuració bastant alterada pel pas dels estudiants. Si és així, probablement és millor carregar abans la configuració de fàbrica:

Menú Save/Recall > Emmagatzemar > Set-up > Fabrica.

Altres opcions de l’instrument (opcional):

• Investigui altres opcions de l’instrument que li poden resultar d’interès en el futur quan realitzi mesures com, per exemple: 1) el menú Measure de mesura automàtica de paràmetres de tensió i temps; 2) l’opció Cursor per acotar mesures i; 3) el menú Math per realitzar operacions matemàtiques amb les formes d’ona.

Activitat LAB8: Ara connecti el generador a l’entrada del circuit sèrie (Fig. 19) i el canal CH1 en en paralel. Representi la forma d’ona de tensió de cadascuna de les resistències.

• 1) Monti el circuit de la Fig. 11a. si és que no el té muntat ja. 2) Posi un adaptador BNC tipus “T” a l’entrada del CH1 i connecti aquí la sortida del generador, vGen(t), amb un cable BNC-BNC (així s’estalviarà de connectar una sonda addicional al Bread-Board, tal i com indica la Fig. 19b). Mantigui el senyal sinus de 5V de pic, f = 100Hz i el offset OFF = 2V, de l’anterior apartat. 3) Connecti el CH2 de l’oscil·loscopi en paral·lel a la segona resistència per mesurar vR2(t) i representi la forma d’ona. No s’oblidi d’acoblar tots dos canals en DC.

Page 19: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

18

(a) (b)

Figura 19. Connexió de l’oscil·loscopi per mesurar la caiguda de tensió al generador, vGen(t), i la resistència, vR2(t). a) Esquema elèctric de connexió; b) Muntatge i connexions al Bread-Board.

Visualització de senyals flotants en mode diferencial

• Ara, desconecti el canal CH2 i connecti’l en paral·lel amb la primera resistència (terminal + de generador, CH1 i CH2 TOTS JUNTS, i negatiu de CH2 en el borne entre R1 i R2). 3) Quin problema hi troba?

• 1) Desfaci aquest últim canvi i torni a connectar-ho tot com al principi (CH2 en paral·lel de R2). 2) Configuri l’oscil·loscopi per treballar en mode diferencial (Menú MATH > Operació > 1 – 2). Aquesta acció genera una nova traça (de color lil·la) que correspon a l’operació punt-a-punt CH1-CH2 i que equival a la tensió de vR1(t), i que en realitat és vGen(t) - vR2(t)

NOTA: Les bornes negatives dels canals CH1 i CH2 estan connectades internament. Connectar-les en llocs diferents del circuit generaria un CURTCIRCUIT i, per tant, pot comportar conseqüències inesperades en el comportament del prototip de mesura.

Page 20: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

19

Annex 1 – Full de resultats

NOTA: És OBLIGATORI portar imprès en paper el full de resultats el dia de la sessió de la pràctica.

Escola Politècnica Superior d’Enginyeria de Vilanova i la Geltrú

EEL

Sistemes Electrònics (SIEK)

Pràctica 1: Instrumentació del laboratori d’Electrònica

Estudiants: Data:

1 Font d’alimentació

• LAB 1: ILIMIT = ________ VFONT = _________ 2 Multímetre

• LAB 2:

2.1 Mesura de resistència elèctrica

R1 R2 R3 R4 R5 Rnominal (Ω) RÓhmetre (Ω) Error (%)

2.2 Mesura de tensió DC

VFONT(Multímetre) = ________ -VFONT(Multímetre) = _________

Page 21: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

20

2.3 Mesura de corrent DC

IR(1kΩ) = ________ -IR(1kΩ) = ________

3 De l’esquema elèctric al Proto-board

• LAB 3:

3.1 Associació sèrie/paral·lel de resistències

Circuit Sèrie

Circuit Paral·lel

Circuit Sèrie-paral·el

RTeòrica (Ω) RÓhmetre (Ω)

• LAB 4:

3.2 Mesura DC del circuit sèrie

I V1 V2 Teòric

Multímetre

4 Generador de funcions

4.1 Mesura AC de la sortida del generador (Valor eficaç)

• LAB 5:

VAC(Multímetre) = ________

4.2 Mesura de tensió/corrent AC del circuit sèrie (valor eficaç)

• LAB 6:

I(AC) V1(AC) V2(AC) Teòric

Multímetre

Page 22: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

21

5 L’Oscil·loscopi

5.1 Configuració bàsica

• LAB 7:

CH1 VOLT/: 2V/ CH2 VOLT/: ----- TIME/: 1mseg/ Acob: DC Zero POS: 0V

5.2 Utilització del Trigger

CH1 VOLT/: 2V/ CH2 VOLT/: ----- TIME/: 1mseg/ Acob: DC Zero POS: 2V

Page 23: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

22

5.3 Utilització de l’acoblament (amb OFF = 2V i mode DC)

CH1 VOLT/: CH2 VOLT/: ----- TIME/: Acob: DC Zero POS:

5.4 Utilització de l’acoblament (amb OFF = 2V i mode AC)

CH1 VOLT/: CH2 VOLT/: ----- TIME/: Acob: AC Zero POS:

Page 24: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

23

• LAB 8:

5.5 Circuit sèrie: vGen(t) i vR2(t)

CH1 VOLT/: CH2 VOLT/: TIME/: Acob: DC Zero POS:

5.6 Circuit sèrie: vGen(t) i vR1(t) (CH2 connectada en paral·lel amb R1)

CH1 VOLT/: CH2 VOLT/: TIME/: Acob: DC Zero POS:

Expliqui quin problema hi ha

____________________________________________________________

_____________________________________________________________

5.7 Formes d’ona: vGen(t), vR1(t) i vR2(t) mitjançant l’opció MATH

Page 25: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

24

CH1 VOLT/: CH2 VOLT/: TIME/: Acob: DC Zero POS:

Indiqui aquí quins ajustos addicionals necessaris per veure vR1(t)

__________________________________________________________________

_________________________________________________________________

Page 26: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

25

Annex 2 – Banda de colors per la identificació del valor resistiu

Page 27: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

26

Pràctica 2. Domini Temporal i Freqüencial Objectiu: Saber determinar tant la resposta transitòria com freqüencial de qualsevol xarxa passiva (o circuit electrònic) lineal i invariant en el temps (LTI – Linear Time Invariat system, en anglès) a partir de la funció de transferència en el domini transformat ‘s’. Aquest objectiu no es restringeix únicament a nivell teòric, si no que també es tracta de conèixer les mesures a realitzar amb els instruments per tal de determinar aquesta informació en un circuit real.

1. Condensadors i inductors

Un condensador està format per dos elèctrodes aïllats per un dielèctric. La càrrega elèctrica q dels elèctrodes es directament proporcional al voltatge vc que cau al condensador,

( ) ( )tCvtq c= (1)

on C és la capacitat de càrrega del condensador. Les unitats de la capacitat s’especifiquen en farads (F abreviadament): 1 farad equival a 1 coulomb/volt, i valors habituals estan al voltant del microfarad (1μF=10-6F) o pico-farads (1pF=10-12F). Per altra banda, el corrent que circula per un condensador ve determinat per la velocitat de canvi de la seva càrrega elèctrica, de manera que la relació tensió/corrent d’aquest component resulta en,

( ) ( ) ( )dt

tdvC

dtCd

dtdti c

c === cvq . (2)

La Taula 1 representa el model matemàtic d’aquest element passiu tant en el domini temporal com el freqüencial. A nivell pràctic, usant Laplace permet transformar al domini freqüencial aplicant el canvi,

( ) ( )Ldx ts x s

dt→ × (3)

on s = d(·)/dt, és l’operador que representa les “variacions en el temps”, també associat a la freqüència angular ω (en rad/seg) com,

ωjs = (4)

i que inclou la freqüència oscil·latòria f = ω/2π que s’expressa en Hz. D’aquesta manera, amb l’ús de regles d’anàlisi bàsiques com la llei d’Ohm, Kirchoff, etc; permet determinar ràpidament el comportament de xarxes reactives, ja que amb conèixer el valor Xc associat és suficient. El mateix principi pot aplicar-se al inductor, on la tensió depèn de la variació de camp magnètic:

( ) ( ) ( )dt

tdiLdtLd

dtdtv L

L === Liφ , (5)

on L és la inductància, expressada en henris (H), i ϕ representa el camp magnètic; de manera que condensadors i inductor és poden considerar components duals (intercanviant i per v i v per i una expressió porta a l’altre) de valors Xc i XL respectivament.

Page 28: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

27

Simbologia Capacitat/Inductor

Relació I-V (domini

temporal)

Impedància (domini

freqüencial)

Relació I/V amb condicions inicials (domini freqüencial)

( ) ( )dt

tdvCti c

c = ( ) ( )( ) Cssisv

sXc

cc

1==

( ) ( )dt

tdiCtv LL = ( ) ( )

( ) LssisvsX

L

LL ==

Taula 1. Símbol, convencions, i model I-V del condensador i l’inductor en el domini tempral i freqüencial.

Activitat PRELAB0: Busqui per Internet, o qualsevol catàleg (RS Amidata, Farnell in One, Digi-key, Google, etc) un condensador de valor 10nF i un altre de 10μF

• Dibuixi de manera aproximada el seu contorn i indiqui com s’especifica el seu valor capacitiu i la tolerància sobre l’encapsulat. Indiqui la seva polaritat, si escau.

2. Resposta Temporal a l’esgraó (Sistema de 1er. ordre)

2.1 Entrada esgraó

Consideri el circuit de la Fig. 1a al que se li aplica a l’entrada una tensió constant VA. La font es connecta al circuit a l’instant t = 0. Llavors, la tensió d’entrada vi salta des de 0 fins VA instantàniament com s’indica a la Fig. 1b. A aquesta funció se l’anomena funció esgraó. Per altra banda, un graó unitari (Fig. 1c) i la seva transformada al domini ‘s’ es defineix com,

( ) ( )s

sutt

tu L 10,10,0

=→

≥<

= . (5)

D’aquesta manera una funció esgraó que és zero fins t = 0 i VA per t ≥ 0 s’escriu VAu(t):

( ) ( )s

VsutVt

tuV AL

AA =→

≥<

= 0,0,0

. (5)

2.2 Resposta esgraó en circuits RC de 1er. ordre

La Fig. 2 mostra el circuit RC sèrie, amb una entrada esgraó VAu(t) i el seu equivalent transformat considerant condicions inicials del condensador nul·les (Vc0 = 0V). Si s’aplica el mètode de divisor de tensió, la caiguda de tensió en el condensador s’expressa,

Page 29: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

28

(a) (b) (c)

Figura 1. Funció esgraó. a) Circuit amb entrada constant; b) Forma d’ona de la funció esgraó; c) Graó unitari

Figura 2. Circuit RC sèrie i equivalent transformat s (sense condicions inicials del condensador)

( ) ( )( ) ( )

sV

RCsRC

sV

CsRCssV

sXRsX

sv AAA

C

Cc 1

1

1

1

+=

+=

+= . (6)

L’antitransformada d’aquesta expressió és directa (veure la taula de l’Annex 1), de manera que la caiguda en el condensador expressada en el temps queda,

( ) ( )

−=→

+=

−−RC

t

AcLA

c eVtvs

V

RCsRCsv 11

11

. (7)

Aquesta resposta presenta el transitori de la Fig. 3 i és de forma exponencial. Donat que VAu(t) = VA, per determinar el corrent del condensador, ic(t) simplement es resta a l’entrada la tensió vc(t) i es divideix per R.

Ara examinem la resposta del circuit RC sèrie des d’un punt de vista físic. Inicialment, s’assumeix que per t < 0 la caiguda al condensador és nul·la i a t = 0 la font VA es connecta al circuit. Com que la condició de continuïtat en el condensador exigeix que vc = 0 tot just després de connectar la font, t = 0+ (en cas contrari ic →∞ cosa que destruiria el dispositiu. Vegeu Taula 1), en aquest instant resulta que

vR = VA i ic = vR/R = VA/R per t = 0+.

El corrent salta instantàniament de 0 a VA/R a t = 0+ (observeu que un canvi brusc del corrent en el condensador és possible ja que no necessita complir la condició de continuïtat) de manera que durant el transitori el corrent flueix pel condensador que va carregant-se.

Page 30: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

29

Figura 3. Resposta al esgraó del circuit RC sèrie: Font VA – traça negra disc.; vc(t) – traça blava; ic(t) – traça lil·la.

Com que al carregar-se el condensador vR(t) = VA – vc(t), el corrent disminueix segons el terme exponencial e-t/RC fins que el seu valor es nul i la caiguda val vc = VA. L’estat posterior a aquest event en que ja no hi ha més canvi, i que teòricament succeeix a t = ∞, és coneix com règim estacionari. A nivell pràctic, però, el terme esdevé negligible quan t = 5RC (e-5 < 0.01) i, per tant, s’assumeix que el règim permanent comença en aquest moment.

La component transitòria d’aquest senyal també es coneix com resposta natural del circuit ja que representa la manera en que els components passius (R i C) responen per si sols a l’entrada. En canvi, al règim estacionari se’l coneix també com a resposta forçada ja que és la que imposa la font a la sortida. Si s’expressen R i C en termes de dimensions bàsiques es pot demostrar que el producte RC s’expressa en segons. És més, si es reescriu (6) com,

( ) ( )( ) ( )

τ

τ1

1

1

10

+=⇔

+==

sHsH

RCsRC

sVsv

sHA

c (8)

resulta que aquesta nova expressió caracteritza unívocament el comportament del circuit sobre la variable d’interès vc (la sortida) respecte la font VA (l’entrada). Aquí, H0 denota el factor d’amplificació en el règim estacionari (H0 = 1 en aquets cas), mentre que τ = RC denota constant de temps en la variació de la tensió del condensador. Per aquesta raó, l’expressió (8) es denomina també funció de transferència del circuit.

2.3 Resposta del circuit RC a un senyal rectangular (Expressió general de la càrrega/descàrrega d’un condensador)

La resposta del circuit RC a un pols rectangular (Fig. 4a) és molt important en electrònica ja que molts dels senyals pràctics en sistemes de comunicació reals són d’aquesta forma. Com que ara les condicions canvien tant a t = 0 com t = tp s’han de considerar dos intervals: el que va de 0 a tp i el que va de tp a ∞.

Page 31: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

30

(a) (b) (c)

Figura 4. Esquema d’anàlisi del circuit RC sèrie a una entrada rectangular (a). (b) Interval 0 < t < tp; c) tp < t < ∞.

Interval 0 < t < tp: La situació en aquest interval és la mateixa que la mostrada a l’apartat 2.2 (Fig. 4b). No obstant, en aquest cas la tensió que cau al condensador quan t = tp no té per que ser VA ja que depèn de la constant τ fixada per R i C. Aquest valor ve donat per (7),

( ) 01pt

RCc p A Cv t t V e V− = − = − =

(9)

Interval tp < t < ∞: En aquest cas, la situació és la que es mostra en la Fig. 4c. Les condicions inicials d’aquest tram no són nul·les i estan establertes per (9), vc(tp-) = vc(tp+) = Vc0. Així, doncs, cal determinar la tensió a la resistència ja que es compleix que vc(s) = - vR(s). Amb el mètode de divisor de tensió, per aquest tram s’obté,

( ) ( ) RCtt

ccccc

c

p

eVtvRCs

Vs

V

RCss

sV

CsRRsv

−−

=→+

=+

=+

= 0000

1111-L . (10)

Per últim, si es substitueix (9) en (10) la resposta per tot el tram queda:

( )( )1 , 0

1 ,p

tRC

A p

c t tRC RC

A p

V e t tv t

V e e t t

− < <= − ≥

(11)

2.4 Mètode pas-a-pas per obtenir la resposta esgraó de circuits RC de 1er. ordre

Superposant els resultats de la secció anterior, es pot generar un mètode ràpid i intuïtiu (sense usar equacions diferencials ni transformades) per obtenir la resposta temporal de qualsevol circuit RC amb un ÚNIC condensador. El mètode és vàlid, fins i tot, quan s’han de considerar vàries finestres temporals, o trams:

1. Pel tram, tn ≤ t < tn+1 escrigui una expressió de la forma,

( ) ( )[ ] τntt

cnccnc eVtVVttv−

∞∞ −+=− (12)

Page 32: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

31

on τ = RC és la constant de temps present en aquest tram i tn és l’instant inicial del tram n, per n = 1...N.

2. Determini el valor de Vc∞ com la caiguda de tensió que hi haurà al condensador quan hagi assolit la màxima càrrega/descàrrega, ic(∞) = 0, en qualsevol cas.

3. Determini el valor de Vc(tn) inspeccionant el circuit a l’inici del tram t = tn. 4. Determini vc(t). Després passi al tram n = n+1 i repeteixi els passos anteriors. 5. En el cas que hi hagi un únic condensador però el circuit no tingui la forma d’un

RC sèrie, abans obtingui l’equivalent Thevenin que es veu des dels terminals del condensador i repeteixi els passos que s’acaben de descriure.

Activitat PRELAB1: En el formulari del previ de la pràctica al final del document (Annex 1) representi sobre la plantilla de Bread-Board les connexions de l’activitat LAB1 (Fig. 5) i dibuixi les formes d’ona que s’espera veure a l’oscil·loscopi quan connecti les sondes.

• Dibuixi les connexions tant dels components com dels instruments del circuit 5b i indiqui quina configuració haurà de tenir el generador de funcions per generar un senyal QUADRAT, entre 0 i 5V, amb una freqüència f = 0.25Hz (T = 4seg).

• Amb la configuració del CH1 i CH2 de l’oscil·loscopi indicada al formulari PRELAB1 representi les formes d’ona que veurà per pantalla.

Activitat LAB1: Monti sobre el Bread-board el circuit de la Fig. 5 connectant els instruments tal i com s’indiquen i determini experimentalment la constant de temps τ:

• 1) Monti el circuit. 2) Connecti la sortida TTL del generador de funcions a l’entrada del circuit (o bé configuri un senyal QUADRAT, entre 0 i 5V si utilitza la sortida de 50Ω) i configuri una freqüència f = 0.25Hz. 3) Configuri l’oscil·loscopi com a l’activitat PRELAB1 i, posant atenció dintre d’UN SEMICICLE, determini el temps d’estabilització per determinar τ experimentalment (temps_estabilització_semicicle = 5τ). 5) Compari el valor obtingut amb el teòric (τ = RC)

(a) (b)

Figura 5. Activitat LAB1: Circuit RC sèrie. a) Expressió matemàtica del senyal quadrat que s’ha de configurar al generador. b) Esquema elèctric i connexió dels instruments per realitzar les mesures.

Page 33: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

32

3. Resposta Freqüencial

3.1 Resposta a una entrada sinus/cosinus

La forma d’ona sinusoïdal (Fig. 6) i el seu equivalent en el domini transformat s, respectivament, s’escriu com,

( ) ( ) ( ) ( )( )2 2cos L s sx t A t x s A As s j s j

ωω ω ω

= → = =+ + −

(13)

on A correspon a l’amplitud i ω = 2πf = 2π/T és la freqüència angular, expressada en rad/seg. Si s’introdueix aquesta ona com a entrada del circuit RC sèrie, en aquest cas, el càlcul (mitjançant Laplace) de la resposta temporal requereix resoldre una expressió de grau 3 de la forma,

( ) ( )ωωω js

Bjs

B

RCsB

ss

RCsRCAsv

CsRCssv ic −

++

++

=++

=+

=*221

22 11

1

1

1 (14)

on B1 i B2 són els residus,

( )

( )22

2

1 1

1

RC

RCAB+

i ( )

( )22

2

2 1

1

2RC

RCj

RCAB+

ω

. (15)

L’anti-transformada de (14) és de la forma,

( ) tjtjRCt

c eBeBeBtv ωω −−++= *

221 (16)

on el terme amb B1 correspon la resposta natural del circuit, i que s’esvaeix amb t, i els termes amb B2 formen la resposta forçada. Menyspreant el primer terme, i aplicant el teorema d’Euler, s’arriba a una expressió de la forma

( )( )

( ) ( ) ( )1

22

1cos tan cos

1c

RCv t A t RC A H j t H j

RC

ω ω ω ω ωω

− = − = × × +∠ +

(17)

on,

( )( )2

2

1

1RCH j

RC

ωω

=+

i ( ) ( )1tanH j RCω ω−∠ = − , (18)

Page 34: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

33

Figura 6. Forma d’ona d’un senyal sinus amb expressió: x(t) = Acos(ωt)

denoten el mòdul i la fase, respectivament, de la funció de transferència H(s) definida a (8). És a dir, al introduir un senyal sinusoïdal al circuit s’obté un altra senyal sinusoïdal però corregida per un factor numèric |H(jω)| i desplaçada ∠H(jω) graus respecte al senyal d’entrada. La freqüència ω és la mateixa tant a l’entrada com la sortida.

Les expressions indicades a (18) són molt importants ja que permeten conèixer el comportament de la xarxa RC per a qualsevol freqüència ω. De fet, existeix una metodologia amb la que es pot extrapolar aquest càlcul de manera ràpida i senzilla a QUALSEVOL sistema LTI, sempre que l’objectiu sigui conèixer el seu règim permanent. El mètode fins i tot és vàlid al marge del nombre de resistències, condensadors i inductors que inclogui el sistema.

3.2 Resposta Freqüencial de sistemes LTI en règim permanent

A nivell general, donat qualsevol sistema LTI expressat en base a la funció H(s) (Fig. 7), el seu mòdul |H(s)| es calcula com,

( ) ( ) ( )2 2R IH j H j H jω ω ω= + (19)

on els subíndexs “R” i “I” denoten part “real” i “imaginària”, respectivament, de la funció original H(s), mentre que la fase ∠H(jω) es determina com,

( )

( )( ) ( )

( )( ) ( )

1

1

tan , si 0

tan , si 0

IR

R

IR

R

H jH j

H jH j

H jH j

H j

ωω

ωω

ωπ ω

ω

≥ ∠ =

+ <

(20)

on les unitats de ∠H(jω) són en ‘radians’. En la majoria de casos, però, H(s) s’expressa en forma de fracció i tant numerador com denominador contenen part real i imaginaria. En aquest cas, el valor numèric de |H(jω)| es determina,

Page 35: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

34

Figura 7. Concepte general de la resposta freqüencial d’un sistema lineal i invariant en el temps (LTI).

( )( ) ( )( ) ( )

2 2

2 2

R I

R I

num H j num H jH j

den H j den H j

ω ωω

ω ω

+ = +

(21)

on “num” i “den” denoten numerador i denominador, respectivament de H(s). En canvi, per determinar la fase de ∠H(jω) usem,

( ) ( ) ( )H j num H j den H jω ω ω∠ = ∠ −∠ . (22)

on ( )num H jω∠ i ( )den H jω∠ es calculen mitjançant (20). El lector pot comprovar que

aplicant (21) i (22) en (8) permet obtenir el mòdul i la fase del circuit RC sèrie indicades en (18). En altres paraules, si Vi(ω) denota l’amplitud del senyal d’entrada, i θi(ω) el desplaçament a la freqüència ω, la resposta a la sortida del sistema LTI serà,

( ) ( ) ( )o iV H j Vω ω ω= × i ( ) ( )o iH jθ ω ω θ= ∠ + . (23)

La Fig. 8 mostra els punts més representatius de les formes d’ona que s’observarien a l’entrada i sortida del sistema LTI. Bàsicament, per determinar el mòdul |H(jω)| experimentalment es mira la relació d’amplituds de tots dos senyals,

( ) o

i

VH j Vω = . (24)

Per altra banda, la fase ∠H(jω) es determina agafant el punt t0 com a referència i mesurant t1 i t2. Llavors, si s’assumeix que θi = 0 per aquesta referència (i que per tant, ∠H(jω) = θo) la fase es determina,

( )2

1 2

11 2

2 , si

2 , si

t t tTH jt t tT

πω

π

≥∠ = − <

(25)

Activitat PRELAB2: En el circuit RC sèrie de la Fig. 5b, si C = 10nF, dibuixi a la reticula les formes d’ona que s’observarien a l’oscil·loscopi si enlloc del senyal quadrat s’introdueix un senyal sinusoïdal de Vi = 5V d’amplitud, amb offset nul, i una freqüència f = 1 kHz (ω = 2πf)

Page 36: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

35

Figura 8. Paràmetres de tensió i temps que s’utilitzen en l’estimació de |H(s)| i ∠H(s).

• Per realitzar aquesta tasca, usi (18) per determinar mòdul i fase, i desprès determini la informació que necessita de la Fig. 8 mitjançant (24) i (25). Imposi θi = 0 i fixi un valor de t0 aleatori a l’eix horitzontal. Indiqui la configuració oscil·loscopi necessària per observar amb bon detall un cicle complet de tots dos senyals en la pantalla.

Activitat LAB2: Obtingui experimentalment al laboratori la resposta freqüencial del circuit RC sèrie (Fig. 5b) vist a l’activitat LAB1.

• 1) Usant el condensador de C = 10nF connectat en l’anterior apartat, connecti la sonda del generador a la sortida de 50Ω (enlloc de la sortida TTL, si es que no ho està ja) i configuri un senyal sinusoïdal de Vi = 5V d’amplitud, amb offset nul, i una freqüència f=1 kHz. 2) Quan observi els senyals, dibuixi’ls en la retícula i mesuri: Vi, Vo, t0, t1 i t2. 3) Usi (24) i (25) per determinar el mòdul i la fase mesurada i compari amb (18).

• Repeteixi l’anterior per f = 200Hz.

4. Sistemes de 2on. ordre.

4.1 Resposta LTI a una entrada esgraó

La funció de transferència estàndard d’un sistema LTI de 2on. ordre s’escriu,

( ) ( )( )

2

2 22o n

i n n

v sH s K

v s s sωξω ω

= =+ +

; o bé ( ) ( )( ) ( )2

11 2

o

i n n

V jH j K

V j jω

ωω ω ω ξω ω

= =− +

(26)

Amb una entrada esgraó vi(s)=Vi/s el sistema presenta una sortida,

Page 37: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

36

( )1 2

21 2

112 1

p t p t

o ie ev t K V

p pV

− − = × + −

− (27)

on,

21,2 1n np ξω ω ξ= ± − (28)

denota els pols de H(s) corresponents a les arrels del polinomi de 2on. grau al denominador.

En general, el comportament del sistema H(s) es caracteritza millor en base als dos paràmetres: ξ i ωn; corresponents al factor d’esmorteïment ξ i la freqüència natural no esmorteïda, respectivament. En trobem tres casos principals:

• Cas sub-esmorteït: 0 < ξ < 1

Quan ξ < 1, els pols del sistema p1 i p2 són complexes conjugats. Llavors, l’expressió (27) es pot reescriure com,

( )2

1

2

11 sin tan1

nt

o i dev t K V t

ξω ξω

ξξ

−−

− = × − + − . (29)

i la resposta és sub-esmorteïda, és a dir, presenta un transitori inicial oscil·lant abans d’assolir la resposta forçada (Fig. 9a). El paràmetre ωd = ωn(1-ξ2)1/2 correspon a la freqüència natural esmorteïda present a l’oscil·lació del transitori inicial i els punts característics d’aquesta forma d’ona es poden determinar mitjançant les expressions de la Taula 1.

• Cas críticament esmorteït: ξ = 1

Aquí, els pols del sistema són reals i iguals (p1 = p2 = ωn). La resposta és la més ràpida possible sense sobre-impuls i té la forma,

( ) ( )1 1nto i nv t K V e tω ω− = × − + (30)

• Cas sobre-esmorteït: ξ > 1

En aquest cas, els pols son reals i diferents. Per una entrada esgraò, la sortida s’escriuria segons (27) i es caracteritza per ser exponencial i de creixement lent i suau.

Page 38: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

37

(a)

(b)

Figura 9. Resposta esmoeteïda del sistema LTI de 2on. ordre (K=1). a) Forma d’ona; b) Definició del paràmetre σ.

Paràmetre Expressió Observacions

1. Temps de retard (td) ------ Només es pot determinar mitjançant (27) i imposant vo(t) = 0.5Vi

2. Temps de pujada(tr) 11 tan d

rd d

t ω π βω σ ω

− − = = −

Consulti Fig. 9b

3. Temps de pic (tp) tp = π/ωd

3. Sobreimpuls (SIP) ( ) ( )

( )21o p o

o

v t vSIP e

v

ξ πξ

−−

− ∞= =

vo(tp), vo(∞) valor de la sortida per t=tp i règim permanent, respectivament

4. Temps d’estabilització (ts) (* valor aproximat)

ts = 3/(ξωn) Error 5% de Vi ts = 4/(ξωn) Error 3% de Vi

Taula 1. Característiques de la resposta subesmorteida en un sistema LTI d’ordre 2.

Page 39: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

38

No obstant, aquí cal distingir dos casos: un en que els pols són bastant propers, i un altre en que p2 domina sobre p1 (ξ >> 1→ p1 >> p2). En aquest últim, és possible aproximar la resposta H(s) al sistema de primer ordre,

( ) ( )( )1

2

2

ˆ lim o

pi

v s pH s Kv s s p→∞

=+

(31)

on,

22

1 1ˆ1n n

ξω ω ξ= =

− − (32)

correspon a la nova constant de temps que resulta d’aquesta aproximació.

En general, l’ordre d’un sistema ve definit sempre pel grau del polinomi al denominador de H(s). Com que s = d(·)/dt representa la derivada de l’equació diferencial, el grau vindrà establer pel nombre de components reactius del circuit, sempre i quan no hi hagin components del mateix tipus formant associacions sèrie o paral·lel dintre del circuit.

4.2 Resposta a l’esgraó del circuit RLC sèrie

Un exemple didàctic representatiu d’un sistema LTI de 2on. ordre és el circuit RLC sèrie de la Fig. 10, format per una resistència, un condensador i un inductor. Si es treballa en el domini transformat, la tensió que cau en el condensador s’expressa,

( ) ( )( ) ( ) ( )c

c iL c

X sv s v s

R X s X s=

+ + (33)

on, Xc(s) = 1/(Cs) i XL(s) = Ls corresponen a la impedància de condensador i inductor, respectivament. Treballant l’expressió (31) s’obté la funció de transferència,

( ) ( )( ) ( )2

1 1

1 1c

i

v s Cs LCH sRv s R Ls s sCs L LC

= = =+ + + +

(34)

que si es contrasta amb la forma estandarditzada (26) s’obté,

K = 1; 1

n LCω = i 2

R CL

ξ = . (35)

Activitat PRELAB3: Dibuixi a la graella les connexions dels components i els instruments de la Fig. 11que haurà de realitzar per obtenir la resposta esgraó del circuit RLC sèrie.

Page 40: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

39

Figura 10. Esquema elèctric del circuit RLC sèrie i equivalen transformat en el domini s.

Figura 11. Connexió dels instruments per les mesures de l’activitat LAB3.

Activitat LAB3: Obtingui de manera experimental la resposta del circuit RLC sèrie (Fig. 11) a una entrada esgraó i compari-la amb el valor teòric.

• 1) Calculi els valors teòrics de ξ i ωn amb (35) i, a continuació, determini el sobre-impuls (SIP) i el temps de pic (tp) d’acord amb la Taula 1. 2) Munti el circuit RLC sèrie. 3) Connecti l’entrada del circuit a la sortida TTL del generador i configuri una freqüència f = 100Hz. Utilitzi el connector en forma de “T”. 4) Configuri l’oscil·loscopi per tal d’observar amb el màxim de detall possible el transitori inicial de CH2 en un dels trams ascendents de CH1. Representi les formes d’ona dels dos canals a la retícula. 5) Mesuri tp , tr, ωn i el sobreimpuls SIP, i compari’ls amb els valors teòrics.

• 1) Per un valor R = 100k, determini els nous valors de ξ i ωn mitjançant (35), i a continuació determini la constant de temps teòrica τ mitjançant (32). 2) Canviï la resistència i representi les noves formes d’ona. 3) Mesuri el temps d’estabilització (ts → 5τ dins un semicicle) i estableixi el valor experimental de τ . Compari amb el valor teòric i indiqui quant val .

NOTA: L’entrada del CH2 de l’oscil·loscopi presenta una resistència RCH2 = 1MΩ i una capacitat CCH2 = 13pF que, a efectes pràctics es com si estiguessin connectats en paral·lel amb la sortida del circuit RLC (Fig. 12). Aquest és el motiu que provoca que els valors calculats en (35) no siguin exactes. De fet, el lector pot comprovar que les noves expressions serien,

Page 41: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

40

(a) (b)

Figura 12. Efecte de connectar el CH2 a la sortida del circuit RLC sèrie. a) Detall de CH1 i CH2 indicant la resistència RCH2 i CCH2 que introdueix al circuit quan es connecta la sonda. b) Circuit equivalent considerant aquests components interns

( ) ( )( ) 22

2

1 EQc

i CH EQ

EQCH EQ

KLCv s

H sv s K L RR C Ks s LCLR C

= = +

+ +

(36)

on,

2

1CH

RKR

= +

; nEQ

KLC

ω = ;2

22CH EQ

CH EQ

L RR CKR LC

ξ+

= per 2EQ CHC C C= + . (37)

Per la mateixa raó, quan R = 100k el valor d’estabilització és vc(∞) = Vi/K = 4.5V. L’anàlisi de tot plegat es podria complicar encara més si es consideressin també els efectes de la resistència i capacitat interna de CH1, cosa que deixem per al lector.

4.3 El fenomen de la ressonància elèctrica (cas del RLC sèrie)

Quan en determinats circuits RLC se’ls introdueix un senyal sinusoïdal, del tipus vi(t) = Visin(ωt) (Fig. 6) a determinades freqüències presenten un fenomen conegut com ressonància elèctrica.

En el cas del RLC sèrie, per exemple, si es defineix ZT com la impedància total en la malla,

( ) ( ) ( )T L cZ j R X j X jω ω ω= + + , (38)

existeix una freqüència ω = ωr per la que la component òhmica d’inductor i condensador es cancel·len. Fixeu-vos que si XL(jω) = -Xc(jω) = +j/Cω llavors tenim que,

1 r rr

jjL C LCω ωω= → = , (39)

i la impedància total en la malla ZT(jωr) = R és mínima, de manera que la tensió a la resistència vR(jωr) = Vi/R és màxima. A aquesta freqüència si l’anomena freqüència de ressonància.

Page 42: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

41

Activitat LAB4: Determini experimentalment la freqüència de ressonància ωr del circuit RLC sèrie mesurant amb el multímetre la tensió a vR (Fig. 13).

• 1) Configuri el generador amb la mateixa entrada sinus de l’activitat LAB2 (5V de pic sense òfset) amb una freqüència f = 100Hz. 2) Connecti el multímetre en paral·lel amb la resistència R i configuri’l per treballar com a voltímetre en AC (ACV = SHIFT + DCV). 3) Augmenti el valor de f fins que el multímetre marqui el valor de VR màxim. 4) Anoti el valor de la freqüència fr així com el valor de pic VR i eficaç VRrms de la tensió a la resistència.

Figura 13. Connexió del multímetre en el circuit RLC sèrie per determinar la resonància.

Page 43: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

42

Annex 1 – Full de resultats del TREBALL PREVI

NOTA: És OBLIGATORI realitzar el treball previ ABANS DE LA SESSIÓ DE PRÀCTIQUES

Escola Politècnica Superior d’Enginyeria de Vilanova i la Geltrú

EEL

Sistemes Electrònics (SIEK)

Pràctica 2: Domini Temporal i Freqüencial

TREBALL PREVI

Estudiants: Data:

PRELAB 0: Dibuixi el contorn de l’encapsulat del condensador de 10nF i 10μF

Com s’identifica el valor i la polaritat?

10nF: __________________________________________________________________________________________________________________________________________________________

10μF: __________________________________________________________________________________________________________________________________________________________

Page 44: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

43

PRELAB1. Circuit RC sèrie – Resposta esgraó. Configuració del generador de funcions, muntatge i formes d’ona que es veuran a l’oscil·loscopi

Marqui la casella corresponent i indiqui el valor de configuració

- SORTIDA: TTL 50Ω. - FUNCIÓ: Defecte SQUARE SINUS TRIANGULAR - OFFSET: Defecte Valor: _____________ - AMPLITUD: Defecte Valor: _____________ - FREQÜÈNCIA: ________________

Muntatge i connexions del circuit RC sèrie

Representació de vi(t) i vc(t)

CH1 VOLT/: 1V/ Zero POS: -2V CH2 VOLT/: 1V/ Zero POS: -2V TIME/: 500mseg/ Acob: DC

Page 45: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

44

PRELAB 2. Circuit RC sèrie – Resposta freqüèncial. Representació de vi(t) i vc(t)

CH1 VOLT/: Zero POS: CH2 VOLT/: Zero POS: TIME/: Possició Horitzontal TRIGGER: Acob:

PRELAB 3. Circuit RLC sèrie – Resposta esgraó. Muntatge i connexions del circuit RCL sèrie

Page 46: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

45

Annex 2 –Treball de Laboratori

NOTA: És OBLIGATORI portar imprès aquest formulari el dia de la sessió de la pràctica

Escola Politècnica Superior d’Enginyeria de Vilanova i la Geltrú

EEL

Sistemes Electrònics (SIEK)

Pràctica 2: Domini Temporal vs. Domini Freqüencial

FULL DE RESULTATS

Estudiants: Data:

LAB 1: Circuit RC sèrie – Resposta esgraó.

Formes d’ona de vi(t) i vc(t) obtingudes al laboratori (10μF)

CH1 VOLT/: 1V/ Zero POS: -2V CH2 VOLT/: 1V/ Zero POS: -2V TIME/: 500mseg/ Acob: DC

ts (=5τ): _______ τ: ________

Page 47: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

46

LAB 2: Circuit RC sèrie – Resposta Freqüencial.

Formes d’ona de vi(t) i vc(t) obtingudes al laboratori (f = 1KHz)

CH1 VOLT/: Zero POS: CH2 VOLT/: Zero POS: TIME/: Acob:

Formes d’ona de vi(t) i vc(t) obtingudes al laboratori (f = 200Hz)

CH1 VOLT/: Zero POS: CH2 VOLT/: Zero POS: TIME/: Acob:

Valors del mòdul i la fase de H(s) per f = 1KHz i f = 100Hz (usant l’expressió 18):

|H(j2π1KHz)|: ___________ ∠H(j2π1KHz): ___________

|H(j2π100Hz)|: ___________ ∠H(j2π100Hz): ___________

Page 48: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

47

f t0 t1 t2 Vi Vc

100Hz

1kHz

Taula 2. Paràmetres del senyal per determinar el mòdul i la fase per f = 100Hz i f = 1KHz

Valors experimentals obtinguts per f = 1KHz i f = 100Hz

|H(j2π1KHz)|: ___________ ∠H(j2π1KHz): ___________

|H(j2π100Hz)|: ___________ ∠H(j2π100Hz): ___________

LAB 3: Circuit RLC sèrie – Resposta esgraó.

Formes d’ona de vi(t) i vc(t) obtingudes al laboratori (R = 1k8Ω)

CH1 VOLT/: Zero POS: CH2 VOLT/: Zero POS: TIME/: Acob:

tp tr SIP ξ ωn

Teòric

Mesurat

Taula 3. Resultats de la resposta esgraó en el circuit RLC sèrie

Page 49: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

48

Formes d’ona de vi(t) i vc(t) obtingudes al laboratori (R = 100kΩ)

CH1 VOLT/: Zero POS: CH2 VOLT/: Zero POS: TIME/: Acob:

ts (=5τ teòric): _______ ts (=5τ mesurat): _______ τ : ________

LAB 4: Ressonància del circuit RLC sèrie.

fr (Hz)

ωr (2πfr) (rad/seg)

VR(jωr) (pic)

VRrms(jωr) (eficaç)

Teòric

Mesurat

Taula 4. Resultats de la resposta a una entrada sinus

Page 50: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

49

Annex 3 –Transformades de Laplace Bàsiques

f(t) F(s) Impuls Unitari 1

Graó unitari u(t) 1s

t 21

s

( )1

1 !

ntn

− n = 1, 2, 3, ... 1ns

tn-1 n = 1, 2, 3, ... 1!

nn

s +

e-at 1s a+

te-at ( )21

s a+

( )11

1 !n att e

n− −

− n = 1, 2, 3, ... ( )1

ns a+

tne-at n = 1, 2, 3, ... ( ) 1!

nn

s a ++

sin(ωt) 2 2sωω+

cos(ωt) 2 2s

s ω+

sinh(ωt) 2 2sωω−

cosh(ωt) 2 2s

s ω−

( )1 1 atea

−− ( )1

s s a+

( )1 at bte eb a

− −−−

( )( )1

s a s b+ +

( )1 bt atbe aeb a

− −−−

( )( )s

s a s b+ +

( )1 11 at btbe aeab a b

− − + − − ( )( )

1s s a s b+ +

Page 51: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

50

f(t) F(s)

( )21 1 at ate atea

− −− − ( )21

s s a+

( )21 1 atat ea

−− + ( )21

s s a+

( )sinate tω− ( )2 2s aω

ω+ +

( )cosate tω− ( )2 2

s as a ω

+

+ +

( )2

2sin 1

1ntn

ne tξωω ω ξξ

− −−

2

2 22n

n ns sωξω ω+ +

( )2

2sin 1

1ntn

ne tξωω ω ξ φξ

−− − −−

21 1tan ξ

φξ

− − =

2 22 n n

ss sξω ω+ +

( )2

21 sin 1

1ntn

ne tξωω ω ξ φξ

−− − +−

( )2

2 22n

n ns s sωξω ω+ +

1-cos(ωt) ( )2

2 2s sω

ω+

ωt-sin(ωt) ( )3

2 2 2s sω

ω+

sin(ωt)- ωt-cos(ωt) ( )3

22 2

2

s

ω

ω+

( )1 sin2

t tωω ( )22 2

s

s ω+

tcos(ωt) ( )2 2

22 2

s

s

ω

ω

+

( ) ( )1 22 22 1

1 cos cost tω ωω ω

− − (ω1 ≠ ω2) ( )( )2 2 2 2

1 2

ss sω ω+ +

( ) ( )1 sin cos2

t tω ω ωω + ( )

2

22 2

s

s ω+

Page 52: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

51

Propietats de la Transformada de Laplace

1 ℒ[A·F(t)] = A·F(s) 2 ℒ[f1(t)±f2(t)] = F1(s)± F2(s)

3 ( ) ( ) ( )

1

10

n n kn n k

nk

d f t s F s s fdt

−−

±=

= − ±

∑L

on ( ) ( )11

1

kk

kdf t f tdt

−−

−=

4 ( )( ) ( ) ( )( )1 01

1nn k

n n k tk

F sf t dt f t dt

s s± − + = ±=

= + ∑∫ ∫ ∫ ∫ L

5 ( ) ( )0

t F sf t dt

s

= ∫L

6 ( ) ( )0

0

lims

f t dt F s∞

=

∫L ; si ( )

0

f t dt∞

∫ existeix

7 ( ) ( )ate f t F s a− = + L

8 ( ) ( ) ( )1 asf t a t a e F s− − − = L per a ≥ 0

9 ( ) ( ) ( )1n

nnn

dt f t F sds

= − L n = 1, 2, 3, ...

10 ( ) ( )1

s

f t F st

∞ = ∫L ; si ( )0

1lims

f tt→

existeix

11 ( )tf aF asa

= L

12 ( ) ( ) ( ) ( )1 2 1 20

t

f t f dt F s F sτ τ

− = ∫L

13 ( ) ( ) ( ) ( )12

c j

c j

f t g t F p G s p dpjπ

+ ∞

− ∞

= − ∫L

Page 53: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

53

Pràctica 3.- Introducció a les Fonts d’Alimentació DC Objectiu: Conèixer les etapes bàsiques que componen una font d’alimentació DC lineal (Transformació, Rectificació, filtre i estabilització) i aprendre el paper que juguen els semiconductors (díodes i ponts rectificadors, Zener i circuits integrats) dintre d’aquest sistema electrònic real.

1 Introducció Una font d’alimentació té com a principal objectiu subministrar una tensió contínua (o DC) a un circuit. Per aquesta operació cal transformar la tensió de xarxa (que és una tensió AC sinusoïdal de 220 · 2 311V = V de pic i 50Hz) realitzant tota una sèrie d’operacions en forma d’etapes (Fig. 1):

• El transformador de potència subministra una tensió al debanat secundari (vS) del mateix tipus que el de l’entrada (vAC) però de magnitud molt menor. Aquest valor depèn del nombre d’espires dels dos debanats (N1 - primari i N2 – secundari, on N1 >> N2) i es calcula com:

2

1S AC

Nv vN

= (1)

• El rectificador amb díodes converteix la sortida del transformador a una tensió unidireccional polsant (que no canvia de polaritat), però que presenta una forta arrissada.

• El filtre s’encarrega de reduir la forma polsant a un valor d’arrissada molt més petit. La tensió que resulta, però, depèn d’altres factors com el consum de la càrrega o el valor de la tensió de l’entrada, i no és possible eliminar l’arrissada completament.

• Per últim, el regulador de tensió pràcticament elimina l’arrissada i permet que la tensió de sortida sigui independent de la d’entrada.

2 Circuits Rectificadors (amb díodes) Començarem parlant de la funció del díode, que és el component electrònic no lineal més bàsic que existeix a la indústria (Fig. 2a) i que funciona com un interruptor semicontrolat (Fig. 2c i 2d):

• Si s’aplica un voltatge extern negatiu (segons la referència indicada a la Fig. 1b, v < 0), el díode es comporta com si fos un circuit obert (Fig. 2c). En aquest cas el corrent, que es defineix d’ànode a càtode, és nul i es diu que el díode està polaritzat en la regió inversa, o bé que treballa en OFF.

• En canvi, si el corrent és positiu (i > 0) es comporta com si fos un curtcircuit (Fig. 2d). Llavors, es diu que el díode està polaritzat en la regió directa i que està en l’estat de conducció (treballa en ON).

El comportament real, però, varia una mica. Quan es troba a ON, resulta que la tensió ànode-càtode (v) no és del tot zero sinó que presenta un valor bastant proper als 0.7V (el valor exacte, però, depèn del tipus de díode). Una manera de representar aquesta tensió consisteix en incorporar una font (VD = 0.7V) en sèrie amb el díode (Fig. 3).

Page 54: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

54

Figura 1. Diagrama de blocs d’una font d’alimentació DC. Aquest sistema realitza la conversió AC-DC de la tensió d’entrada vAC.

(a) (b)

(c) (d)

Figura 2. El díode ideal: a) Símbol; b) característica i-v; c) Circuit equivalent en OFF; d) Circuit equivalent en ON.

(a) (b)

Figura 3. a) Característica real i linealitzada del díode; b) Model equivalent linealitzat del díode.

Una aplicació fonamental que fa ús de la seva característica de funcionament és el circuit rectificador (Fig. 4). Aquest circuit està format per un díode D i una resistència R connectada en sèrie. Quan s’introdueix una tensió sinusoïdal d’entrada vI = VPsin(2πft), el díode estarà a ON si vI(t) ≥ VD. Altrament, el díode està a OFF i, com que iD serà zero, no hi haurà tensió de sortida (és a dir, a la resistència R).

Page 55: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

55

(a) (b)

Figura 4. Circuit rectificador de mitja ona amb les seves formes d’ona de vI(t) i vO(t).

Figura 5. Característica vO – vI (o funció de transferència) del circuit de la Figura 3.

Per tant, el circuit “rectifica” el semicicle negatiu del senyal d’entrada, que és monofàsica. La tensió al cicle positiu serà pràcticament la mateixa restant-li el valor de VD, corresponent a la caiguda de tensió al díode (Fig. 5). D’aquí que aquest circuit rebi el nom de rectificador monofàsic de mitja ona. A efectes pràctics, la tensió mitja que hi ha a la rsistència durant un període VO(av) es determina,

( ) ( ) ( ) ( )0 0

1 1 sin2 2

T P DI D P DO av

V VV v t v t dt V t d t VT

πω ω

π π = − − − ∫ ∫ , (2)

on VP és la tensió màxima de pic a l’entrada, i que depén del secundari del transformador vI = vS. En quan al díode, el valor mig del corrent ID(av) que circula, i el valor màxim IDmax es determina com

( )( )O av

D av

VI

R= i Omax

maxP D

DV V VI

R R−

= = , (3)

respectivament, mentre que la tensió màxima repetitiva que haurà de suportar quan no condueix, VRRM

1és,

VRRM = max-vD(t) = VP. (4)

Aquestes dades sobre el rectificador són importants a la pràctica ja que permeten una estimació ràpida dels paràmetres de sortida de la font: tensió DC a la càrrega i potència.

1 VRRM vol dir, en anglès Maximum Reverse Repetitive Voltage i estableix la tensió que el díode rectificador pot aguantar de manera repetitiva entre càtode i ànode quan està polaritzat inversament, és a dir, quan ID = 0A.

Page 56: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

56

(a) (b)

Figura 6. (a) Rectificador bifàsic de mitja ona i (b) rectificador monofàsic d’ona completa.

Variables elèctriques

Rectificador monofàsic (mitja ona)

Rectificador bifàsic

(mitja ona)

Rectificador monofàsic

(ona completa)

VO(av) 2P DV Vπ

− 2 P

DV Vπ

− 2 2PD

V Vπ

VRMM VP 2VP VP - VD

IDmax P DV V

R−

P DV VR−

2P DV V

R−

ID(av) ( )O avVR

Taula 1. Especificacions importants de tensió i corrent en cadascun dels rectificadors.

Els rectificadors de la Fig. 6, milloren les prestacions del rectificador de mitja ona. Per una banda, el rectificador bifàsic de mitja ona és capaç d’augmentar significativament la potència transferida a la carrega doncs, al treballar amb dues fases, duplica el valor mig DC de la tensió vR. Té per contrapartida, però, que els díodes han de suportar el doble de tensió en inversa (VRRM ≈2VP). Per la seva banda, el rectificador monofàsic d’ona completa (o en pont) presenta unes prestacions semblants al bifàsic amb la diferència que la tensió en inversa dels díodes és VRRM ≈VP (veure Taula 1).

Activitat PRELAB1: Dibuixi a les plantilles Bread-Board les connexions del rectificador monofàsic de mitja ona i el rectificador bifàsic (Fig. 7), que haurà de muntar i mesurar al laboratori. En cada esquema, inclogui connexions del transformador components i oscil·loscopi.

Activitat LAB1: En cadascun dels circuits de la Fig.7, representi la tensió d’entrada en un del secundaris del transformador vI(t), la tensió de sortida en la resistència vO(t); i la tensió en un dels díodes vD(t).

• 1) Munti el circuit de la Fig. 7a. 2) Amb transformador i oscil·loscopi APAGATS, connecti les sondes i els terminals del transformador. En acabar, posi en marxa l’oscil·loscopi i encengui el transformador. 3) Configuri CH1 i CH2 de l’oscil·loscopi per observar els senyals per pantalla amb detall. 4) Seleccioni l’opció MATH i activi l’operació RESTA (traça de color lila: CH1 – CH2). 5) Obtingui els valors VRRM i VO(av) ajudant-se amb el menú MEASURE.

Page 57: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

57

(a)

(b)

Figura 7. Diagrama de connexions per determinar experimentalment vI(t), vD(t) i vO(t). a) Rectificador monofàsic de mitja ona b) Rectificador bifàsic ded mitja ona.

• Procedeixi de manera semblant a l’anterior punt, per representar les formes d’ona del circuit de la Fig. 7b i determinar VRRM i VO(av).

NOTA: És molt important NO MANIPULAR NI ALTERAR LES CONNEXIONS de les sondes mentre el transformador està encès per no trencar els fusibles de protecció de 0.5A que el transformador inclou internament. Pel mateix motiu, recordeu de NO CONNECTAR el negatiu de les sondes en punts diferents del circuit.

Com que el les prestacions del rectificador monofàsic d’ona completa són bastant acceptables, el seu ús està bastant estés com part de fonts d’alimentació de baixa potència2. No obstant, al incorporar qüatre díodes, els circuit presenta certa complexitat i ocupa cert volum. Afortunadament, avuí dia existeixen multitud de dispositius que inclouen els díodes en un circuit integrat, com els ponts rectificador (Fig. 8), que simplifica les connexions a realitzar.

3 Filtre de tensió El pas posterior a la rectificació consisteix en reduir l’arrissada de la tensió que presenta la sortida. La manera més simple consisteix en connectar un condensador en paral·lel amb la resistència (Fig. 9a) per tal de provocar un transitori entre cicle i cicle molt més suau (Fig. 9b), i així “filtrar” les components de xarxa de més alta freqüència. La seqüència d’aquest transitori és com segueix: 2 En general, s’accepta com a fonts de baixa potencia aquelles que poden oferir fins a un corrent màxim de sortida IO = ID(av) < 2A

Page 58: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

58

(a) (b) (c)

Figura 8. Varis ponts rectificadors típics en Fonts d’alimentació: a) W10G-E4 de Vishay; b) KBPC5010 de Fairchild Semiconductor; c) DF06 de International Rectifier

(a)

(b)

Figura. 9. Rectificador monofàsic de mitja ona amb filtre:. a) Esquema elèctric. b) Formes d’ona de vO, vI, corrent del díode iD i càrrega iL; corresponents a una situació en què RC >> T.

Page 59: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

59

• El díode només condueix durant un instant de temps (∆t = t2 – t1) molt breu. La conducció del díode (ON) comença quan t = t1, moment en que l’entrada pràcticament s’iguala amb la sortida i que presentava un caràcter decreixent com a conseqüència de la descàrrega de C en el tram anterior.

• Una mica després que vI hagi arribat al valor màxim (per simplificar l’explicació utilitzarem l’aproximació VP – VD ≈ VP), i atès que l’evolució de la tensió de sortida és més lenta que la de l’entrada, ja que suposem τ = RC >> T, el díode deixa de conduir en l’instant t2 i passa a OFF.

• Quan el díode està en OFF (pràcticament durant tot el període T), C es descarrega sobre R. L’evolució de vO(t) ve donada per (5) on per simplificar s’agafa com a valor inicial t2 = 0:

( ) ( ) ( ) ( )2

t tRC RC

O O O O Pv t v v t v e V e− −= ∞ + − ∞ = (5)

on v(∞) = 0 seria la tensió en règim permanent del condensador en un hipotètic cas t = ∞ i v(t2) ≈ VP la tensió inicial de la descàrrega. Assumint que RC >> T, es pot aproximar:

RCte RC

t−≈

− 1 (6)

• Com que ( ) ( )1 1

tRC

O P r P Ptv t V V V e V RC

−= − − , aïllant obtenim el valor

aproximat de la tensió d’arrissada:

fCI

fRCV

RCTVV LP

Pr ==≈ (7)

Amb aquest valor d’arrissada, el valor mitjà de la tensió a la sortida VO(av) es calcula com

( ) 2r

PavgOVVV −≈ (8)

Per últim, determinarem el valor mitjà del corrent del díode ID(av) i el màxim ID(màx) durant l’interval de conducció. Aquests paràmetres són molt importants ja que, un altre cop, permeten dimensionar el díode que cal posar al circuit i així seleccionar-ne un entre els disponibles al mercat. Si es suposa que el díode deixa de conduir al valor màxim de vI, tenim que l’interval ∆t es pot determinar mitjançant: VP – Vr = VP cos(ω∆t), on ω = 2πf. = 2π/T correspon a la freqüència angular. Com que l’angle de conducció (ω∆t, en radians) és petit, el terme cos(ω∆t) es pot aproximar com ( ) ( )21cos 1

2t tω ω∆ = − ∆ . Llavors,

l’angle de conducció α és

2 r Pt V Vα ω= ∆ = (9)

Page 60: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

60

Per determinar el corrent mig durant la conducció del díode IDα(av), igualarem la càrrega que el díode subministra al condensador QS i la que perd aquest quan es descarrega QP:

( )( ) ( )S C av D av LQ i t I I t= ∆ = − ∆ i P rQ CV= (10)

Aïllant ID(av) i substituint (7) i (9) en (10) obtenim el corrent mitjà del díode com

( )( ) 1 2D av L p rI I V Vα π= + (11)

Per altra banda, l’expressió del corrent màxim del díode és (la deducció és llarga):

( )max 1 2 2D L p rI I V Vπ= + . (11bis)

Per tant, en un cas en que l’arrissada és Vr << VP, aproximadament tenim, ID(màx) ≈ 2ID(av). El fet de dissenyar un valor de C elevat permet reduir força l’arrissada (7), però té per contrapartida que incrementa considerablement el corrent que circula pel diode (11), la qual cosa el podria destruir. Aquestes condicions es relaxen una mica amb el rectificador bifàsic i el d’ona completa on les expressions d’arrissada i corrent són:

fCI

fRCVV LP

r 22=≈ (12)

( )( ) 1 2D av L p rI I V Vα π= + i ( )( ) 1 2 2D av L p r

I I V Vα π= + . (13)

Aquest motiu fa que el rectificador d’ona completa (o en pont) sigui el més adoptat en fonts d’alimentació de baixa potència.

Activitat PRELAB2: Dibuixi a la plantilla Bread-Board les connexions del circuit rectificador monofàsic d’ona completa amb filtre), que haurà de muntar i mesurar al laboratori, i que inclou el circuit integrat DF06 (Fig. 10).

Activitat LAB2: Obtingui la forma d’ona de tensió a la sortida vO(t) del circuit rectificador monofàsic d’ona completa amb filtre per diferents valors del condensador C.

• 1) Munti el circuit utilitzant el circuit rectificador en pont integrat DF06. En primer lloc utilitzi un condensador C = 100nF i connecti UNICAMENT la sonda CH1 en paral·lel amb la resistència. 2) Quan vegi la forma d’ona, anoti el valors VO(màx)(=VP) i VO(min); i estableixi l’arrissada Vr = VO(max) - VO(min). 3) A continuació, usi (8) per establir la mesura del valor mig de la sortida VO(av).

• Repeteixi l’apartat anterior amb dos condensadors diferents: C = 10µF i C = 100µF. Comenti els resultats determinant el valor teòric de l’arrissada, si escau, mitjançant (7).

Page 61: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

61

Figura. 10. Esquema complet del rectificador monofàsic d’ona completa amb filtre, mitjançant circuit integrat DF06.

4. Estabilitzadors de tensió A la secció 1, ja s’ha comentat la funció que desenvolupa el regulador de tensió dins de la font DC. La manera més simple d’implementar-la consisteix en usar un díode Zener (Fig. 11). La única diferència en el funcionament d’aquest component respecte al díode rectificador és que aquest component pot conduir quan està polaritzat inversament, és a dir, la condició i = -iZ < 0 (o bé iZ > 0) és possible. En aquest cas, es diu que el dispositiu treballa en la zona de ruptura, o bé ON-inversa (ONinv), i la tensió és v = -VZ (o bé la tensió càtode-ànode és VZ). A la pràctica però, la característica i-v en ONinv presenta una pendent finita (Fig. 12) i la tensió VZ no és tant constant com es voldria. Malgrat això, en algunes aplicacions on no cal que el valor d’alimentació sigui tant estable s’asumeix aquesta variació i el zener és utilitzat com estabilitzador de tensió. Un exemple el trobem en el regulador de tensió de la Fig. 13. Si es considera el model del zener real, la tensió de càrrega del circuit de la és:

0O Z Z Zv V r I= + (12)

on rZ representa la resistència interna del díode. El valor R no pot ser qualsevol. Com que vO = vS – R(IL + IZ), on IL varia segons els requeriments de la càrrega (0>IL>IL(màx)) i existeix un valor mínim (IZmin) i màxim (PZ(màx)= VZ·IZ(màx)) per tal de que el zèner treballi correctament, cal seleccionar un valor que compleixi la condició:

(min) (m n) ( ) (m )

( ) ( ) (m n) (m x)

S O í S màx O àx

L màx Z mín L í Z à

v v v vR

I I I I− −

≤ ≤+ + (13)

Activitat PRELAB3: Dibuixi a la plantilla Bread-Board les connexions de la font DC completa que inclou transformador, rectificador monofàsic d’ona completa, filtre per condensador i estabilitzador de tensió mitjançant díode Zener (Fig. 14). Representi també les connexions de l’oscil·loscopi, per mesurar l’arrissada Vr (observant vC), la sortida de la font vO, i el multímetre per mesurar IL.

Page 62: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

62

(a) (b) (c)

Figura 11. Característica de funcionament ideal del díode Zener. a) Símbol. b) Model aproximat del dispositiu treballant en ONinv. c) característica i-v del díode zener.

Figura 12. Detall de la corva en la zona de ruptura (ONinv) en un zener real.

(d)

Figura 13. Regulador amb díode zener. Amb aquest dispositiu la sortida és molt més estable, independentment de l’arrissada de la tensió vS.

Figura 14. Esquema de la font DC completa amb regulador Zener.

Page 63: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

63

Activitat LAB3: Mesuri tant tensió de sortida del regulador VO com el corrent IL corrent de sortida del regulador IL i comprovi que aquest realitza la seva funció.

• 1) Mantenint el valor del condensador C = 100µF, munti el sistema complet amb el regulador, tal i com mostra la Fig. 14. Utilitzi un zener BZX5V1 amb VZ = 5.1V i una resistència R = 390Ω/1W. 2) Connecti l’amperímetre entre el càtode del Zener i la resistència RL = 1kΩ i configuri’l per treballar en DC (opció IDC) 3) Connecti la sonda de l’oscil·loscopi CH1 en paral·lel amb el condensador C i CH2 en paral·lel amb RL. 4) Posi en marxa el transformador. 5) Representi els senyals a la graella i anoti el valor de l’arrissada Vr (CH1), el corrent que marca l’amperímetre IL i la tensió DC al canal CH2 VO.

• Repeteixi les mesures anteriors (sense tornar a dibuixar els senyals un altre cop) per altres dos resistències : RL = 560Ω i RL = 10kΩ. Es pot afirmar que Vr és independent de VO? Per qué?

5. Reguladors integrats (el 7805) Els reguladors lineals de tensió poden trobar-se com a circuits totalment integrats, de manera que la seva utilització és molt còmoda i fiable. Dins l’ampli grup de reguladors de tensió integrats hi trobem els reguladors de tensió fixa, i d’aquests uns dels més populars són els de la família 78XX (reguladors de tensió positiva en què la designació XX correspon al valor de la tensió de sortida). Un exemple és el L7805 (Fig. 15), fabricat per diverses companyies, que és un regulador integrat de tres terminals que proporciona una tensió fixa de sortida de 5V, incorpora una sèrie de proteccions (contra sobrecorrents de sortida, protecció tèrmica) i, refrigerat convenientment, pot arribar a lliurar un corrent de sortida superior a 1A. Encara que ideat per treballar com a regulador de tensió fixa, aquest regulador (i tota la família de 78XX) amb l’ajut de pocs components externs es pot configurar com a regulador de tensió ajustable. La Taula 2, mostra les característiques principals indicades pel fabricant. Activitat PRELAB4: Dibuixi a la plantilla Bread-Board les connexions de la font DC completa amb el regulador integrat 7805 (Fig. 16).

Activitat LAB4: Munti el circuit de la Fig. 16 i comprobi la sortida del regulador. • 1) Munti el circuit. 2) Mesuri la sortida per les tres resistències RL del anterior

apartat: 390Ω, 560Ω i 1kΩ. Quines diferències respecte al regulador Zener hi troba? Hi ha alguna millora en el funcionament?

(a) (b)

Figura 15. Regulador 78XX amb distribució de pins i circuit bàsic d’utilització amb tensió fixa.

Page 64: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

64

Figura 16. Esquema de la font DC completa amb regulador integrat L7805.

Taula 2. Característiques elèctriques del regulador L7805 del fabricant Intersil.

Page 65: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

65

Annex 1 – Full de resultats del TREBALL PREVI NOTA: És OBLIGATORI realitzar el treball previ ABANS DE LA SESSIÓ DE PRÀCTIQUES

Escola Politècnica Superior d’Enginyeria

de Vilanova i la Geltrú

EEL

Sistemes Electrònics (SIEK)

Pràctica 3: Introducció a les Fonts d’alimentació DC

TREBALL PREVI Estudiants: Data:

PRELAB 1: Circuits rectificadors a) Connexions del rectificador monofàsic de mija ona (Fig. 7a)3

3 D’ara en endavant, assumeixi que els tres terminals del secundari del transformador estan connectats a les bornes indicades en tots els circuits.

Page 66: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

66

b) Connexions del rectificador bifàsic de mija ona (Fig. 7b)

PRELAB 2. Filtre de tensió Connexions del rectificador monofàsic d’ona completa amb filtre per condensador (Fig. 10)

Page 67: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

67

PRELAB 3. Estabilitzador de tensió Zener

Connexions de la font d’alimentació DC completa amb regulador Zener (Fig. 14)

PRELAB 4. Regulador de tensió integrat

Connexions de la font d’alimentació DC completa amb regulador integrat L7805 (Fig. 16)

Page 68: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

68

Annex 2 –Treball de Laboratori NOTA: És OBLIGATORI portar imprès aquest formulari el dia de la sessió de la pràctica

Escola Politècnica Superior d’Enginyeria

de Vilanova i la Geltrú

EEL

Sistemes Electrònics (SIEK)

Pràctica 3: Introducció a les Fonts d’alimentació DC

FULL DE RESULTATS

Estudiants: Data:

LAB 1: Rectificadors de tensió Formes d’ona de vI(t); vO(t) i vD(t) = vI(t) - vO(t) del rectificador monofàsic de mitja ona (Fig. 7a).

CH1 VOLT/: 5V/

Zero POS1: 0V

CH2 VOLT/: 5V/

Zero POS2: 0V

MATH VOLT/: 5V/

TIME/: 2mseg/

Acob: DC

VRRM: ____________ VO(av): _____________

Page 69: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

69

Formes d’ona de vI(t); vO(t) i vD(t) = vI(t) - vO(t) del rectificador bifàsic d’ona completa (Fig. 7b).

CH1 VOLT/: 5V/

Zero POS1: 0V

CH2 VOLT/: 5V/

Zero POS2: 0V

MATH VOLT/: 10V/

TIME/: 5mseg/

Acob: DC

VRRM: ____________ VO(av): _____________ LAB 2: Filtre de tensió Forma d’ona de vO(t) del rectificador monofàsic amb filtre (C = 100nF).

CH1 VOLT/: 5V/

Zero POS1: -5V

TIME/: 2mseg/

Acob: DC

VOmax: ________ VOmin: ________ Vr: ________ VO(av):_______

Page 70: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

70

Forma d’ona de vO(t) del rectificador monofàsic amb filtre (C = 10μF).

CH1 VOLT/: 5V/

Zero POS1: -5V

TIME/: 2mseg/

Acob: DC

VOmax: ________ VOmin: ________ Vr: ________ VO(av):_______ Forma d’ona de vO(t) del rectificador monofàsic amb filtre (C = 100μF).

CH1 VOLT/: 5V/

Zero POS1: -5V

TIME/: 2mseg/

Acob: DC i AC

VOmax: ________ VOmin: ________ Vr: ________ VO(av):_______ Comenti els resultats: ____________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

Page 71: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

71

LAB 3: Estabilitzador de tensió Formes d’ona de vc(t) i vO(t)

CH1 VOLT/: 5V/

Zero POS1: -5V

CH2 VOLT/: 5V/

Zero POS1: -5V

TIME/: 2mseg/

Acob: DC

Variable RL = 560Ω RL = 1kΩ RL = 10kΩ

Vr

VO

IL

Taula 3. Valors de sortida de l’estabilitzador de tensió Zener

És pot afirmar que Vr és independent de VO? Per què? ________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

LAB 4: Regulador integrat

Variable RL = 390Ω RL =1kΩ RL = 10kΩ

VO

Vr

IL

Taula 4. Valors de sortida de l’estabilitzador amb regulador integrat L7805

Page 72: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

72

En base a les dades de la taula comenti i justifiqui les diferències que observa. Quines són les millores i inconvenients d’aquesta configuració? ________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

Page 73: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

73

Pràctica 4.- Electrònica de Commutació: el Transistor Bipolar (BJT). Objectiu: Conèixer el funcionament del transistor bipolar, (en anglès Bipolar Junction Transistor - BJT)1 i entendre la seva utilitat en aplicacions electròniques de commutació i/o digitals.

1 Introducció

En aquesta pràctica coneixerem el component més bàsic de tres terminals: el transistor bipolar. El principi de funcionament del BJT (Fig. 1) es bastant semblant a la d’una font de corrent controlada on una variable elèctrica en un dels terminals, el corrent a la base (B) en aquest cas, serveix per controlar el corrent que va des del col·lector (C) a l’emissor (E) del tercer terminal.

El seu símbol esquemàtic, així com les convencions utilitzades en les seves variables elèctriques més representatives, són els que s’indiquen a la Fig. 1. En general, els BJT poden ser npn (Fig. 1a) o bé pnp (Fig. 1b). La diferència principal entre ells és el signe que agafen totes les variables elèctriques i que és oposat un respecte de l’altre

En quan als corrents, sempre es compleix que:

E B Ci i i= + . (1)

La variable vBE representa la tensió entre base i emissor, mentre que la variable vCE

correspon a la tensió entre col·lector i emissor.

A diferència del díode, el BJT pot funciona de fins a tres maneres diferents en base a les condicions que puguin esdevenir a les seves variables elèctriques quan aquest dispositiu es connecta a d’altres components (vegeu Taula 1):

• Quan el corrent de base iB és nul, el col·lector presenta un corrent iC també nul. En aquest cas, es diu que el dispositiu treballa en la regió de TALL (o bé en OFF). Això succeirà sempre que la tensió vBE estigui per sota d’un llindar VBEγ

(típicament VBEγ = 0.7V) i que l’especifica el fabricant del dispositiu en el full de característiques.

• Quan el potencial a la base és suficient, tant com per fer que iB deixi de ser nul, el transistor abandona la zona de tall i entra en la regió d’ACTIVA. En aquesta regió es mantindrà mentre iB sigui d’un valor moderat, tal que vCE sempre estigui per sobre del llindar VCE(sat) (també especificat pel fabricant). En aquest cas, la tensió vBE queda fixada a VBEγ i els corrent iC és directament proporcional a iB segons el paràmetre de guany hFE (indicat un altre cop pel fabricant).

1 A partir d’ara utilitzarem aquests acrònims per referir-nos a aquest semiconductor

Page 74: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

74

(a) (b)

Figura 1. Transistor BJT. Símbols i convencions de tensió i corrent. a) npn, b) pnp.

Estat del BJT Condicions Comportament Tall (OFF) vBE < VBEγ , vCE > VCE(sat) iB = 0, iC = 0

Activa iB > 0, vCE > VCE(sat) vBE = VBEγ, iC = hFEiB

Saturació (ON) iB > 0, iB > iC/hFE vBE = VBEγ, vCE = VCE(sat)

Taula 1 Modes de funcionament del BJT npn: condicions i comportament de les variables de tensió i corrent. Les mateixes consideracions s’apliquen al pnp intercanviant subíndexs, i.e vCE → vEC.

• Quan el valor de iB és ostensiblement gran la relació iC = hFEiB deixa de complir-se. En aquest cas, el BJT entra en la regió de SATURACIÓ. La tensió vCE llavors agafa el seu valor mínim (vCE(sat)) mentre que vBE segueix sent VBEγ.

La Fig. 2 mostra la característica i-v i resumeix el comportament acabat d’explicar. De fet, la unió base-emissor és comporta com un díode: on en activa o saturació es podria dir que està a ON (vBE = VBEγ i iB > 0), mentre que el tall correspon al funcionament OFF (vBE < v VBEγ i iB = 0).

Per tal d’entendre el funcionament de les tres zones, consideri el circuit de la Fig. 3 on Vin és una tensió variable. Si s’aplica KVL a la malla de base (esquerra) i la malla de sortida (dreta) s’obtenen les següents expressions:

0in B B BEV R i v− − = (2)

2 0C C CEv R i v− − = (3)

• Condició per la regió de tall: Per determinar el límit de Vin entre tall i activa s’aplica a (2) la condició vBE < VBEγ i s’imposa iB = 0 (segons la Taula 1). D’aquesta manera s’obté que la condició de Vin per tal de que el BJT treballi en la regió de tall és,

in BEV V γ≤ . (4)

En aquest cas, com que iC = 0, és necessari que vCE superi VCesat:

2CEv V= (5)

Page 75: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

75

(a) (b)

Figura 2. Característica i-v del BJT npn. a) Característica iB – vBE. b) Característica iC – vCE.

Figura 3. Esquema elèctric d’un circuit amb transistor BJT de tipus npn.

• Condició per la regió d’activa: És evident que per a que el BJT treballi en aquesta regió ha de succeir la condició contraria a (4). Efectivament, si aïllen iB en (2) i imposem vBE = VBEγ s’obté:

0in BEB in BE

B

V vi V VR γ−

= ≥ → ≥ (6)

No obstant, aquesta no és l’única condició per aquesta zona de funcionament ja que, per altra banda, si iB es massa gran el dispositiu podria entrar en la zona de saturació. Aquest límit es determina a partir de la condició vCE > VCE(sat). Com que, a més, resulta que en activa iC = hFEiB, substituint (6) en (3) permet obtenir la condició,

2 ( )CE satin B BE

C FE

v VV R V

R h γ

−≤ + , (7)

de manera que per treballar en activa s’ha de complir la condició,

Page 76: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

76

2 ( )CE satBE in B BE

C FE

v VV V R V

R hγ γ

−≤ ≤ + . (8)

En aquesta situació,

2 2in BE

CE C C c FEB

V Vv V R i V R h

Rγ−

= − = − (9)

• Condició per la regió de saturació: Per últim, és obvi que la condició per saturació és la contraria a (7). No obstant, per tal de confirmar-ho apliquem la condició

CB

FE

iih

> (10)

de la Taula 1. En aquest cas, es compleix que vBE = VBEγ i vCE = VCE(sat). D’aquesta manera, i mitjançant (3) iC es determina com,

2 ( )2 CE satCEC

C C

v Vv viR R

−−= = . (11)

Donat que en saturació iB es pot calcular també mitjançant (6) substituint (11) en (10) permet obtenir la condició de saturació del BJT,

2 ( ) 2 ( )CE sat CE satin BEin B BE

B C FE C FE

v V v VV VV R V

R R h R hγ

γ

− −−> → > + (12)

i que és exactament la contrària a la condició d’activa.

La Taula 2 resumeix les condicions i el comportament de les variables elèctriques del transistor en aquest circuit. Com es pot comprovar, si l’entrada (Vin) és nul·la la tensió col·lector-emissor (vCE) agafa el valor màxim i el corrent iC = 0. En canvi, a partir d’un determinat nivell d’entrada, establert per (12) la tensió vCE és pràcticament nul·la (VCE(sat) = 0.2V) i iC ≠ 0.

Per tant, en tall i saturació, el comportament d’aquest semiconductor és semblant al d’un interruptor (obert o tancat, respectivament), que es controla mitjançant Vin. En canvi, en activa, el dispositiu presenta un comportament lineal tal i com marca (9). Per aquesta raó el BJT constitueix un semiconductor de caràcter general utilitzat en multitud d’aplicacions electròniques: des d’amplificadors de senyal, equips de potència, sistemes digitals i dispositius de memòria, entre d’altres.

Page 77: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

77

Estat del BJT

Condicions Comportament

Tall vin < VBEγ 20, 0 i B C CEi i v V= = =

Activa 2 ( )CE satBE in B BE

C FE

v VV V R V

R hγ γ

−≤ ≤ +

C FE Bi h i= 2CE C Cv V R i= −

Saturació 2 ( )CE satin B BE

C FE

v VV R V

R h γ

−> +

in BEB

B

V Vi

Rγ−

=

C FE Bi h i= 2CE C Cv V R i= −

Taula 8.2. Resum de condicions de funcionament i equacions pel circuit de la Figura 8.3

Per qüestions de brevetat, en aquesta pràctica només veureu exemples del BJT treballant en “commutació” (és a dir, tall i/o saturació). Per veure exemples d’aplicacions amb el BJT treballant en activa podeu consultar l’annex 1.

Activitat PRELAB 0. Cerqui informació al voltant del transistor bipolar BC547C i indiqui les característiques elèctriques més importants.

• Busqui el full de característiques del fabricant del BC547C i representi de manera aproximada el seu encapsulat. Identifiqui cadascun dels terminals: col·lector, base i emissor. Consulti les dades d’aquest dispositiu i especifiqui el valor de hFE, VBeγ i VCE(sat)

2. El BJT com unitat lògica bàsica en Circuits Digitals

EL circuit de la Fig. 2, vist en l’anterior apartat, constitueix una manera d’implementar una porta lògica inversora (o NOT). El terme “lògica” es deu a que es tracta d’un sistema que genera ordres tot o res i que, per tant, s’utilitzen en la presa de decisions.

Habitualment, quan es parla sobre circuits digitals, moltes vegades s’utilitza el valor “0” i “1”. En aquest sentit, el valor “0” significa que el nivell de tensió és “baix” (o de 0V) mentre que el nivell “alt”, per exemple, 5V correspon al “1” lògic.

La porta inversora NOT, OR i AND (i les seves inverses NOR i NAND), juntament amb la OR-Exclusiva formen algunes de les funcions digitals combinacionals més bàsiques (Fig. 4). En el cas de la porta NOT, per exemple, quan l’entrada està a nivell baix la sortida es la oposada (5V), mentre que en cas contrari (entrada a nivell alt) la sortida és de 0V. Aquesta funció pertany a la següent expressió:

O A= (13) on la línia superior denota inversió de l’entrada A.

Page 78: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

78

(a) (b) (c)

(d) (e) (f) Figura 4. Sis de les funcions digitals combinacionals més bàsiques: a) Inversor, NOT; b) Funció OR de dues entrades; c) AND; d) NOR; e) NAND; f) OR-Exclusiva.

Casos A B Inversor OR AND NOR NAND OR-E

0 0 0 1 0 0 1 1 0

1 0 1 1 1 0 0 1 1

2 1 0 0 1 0 0 1 1

3 1 1 0 1 1 0 0 0

Funció lògica O A= O A B= + O AB= O A B= + O AB= O A B= ⊕

Taula 3. Taula de la veritat de les funcions digitals de la Figura 4. El circuit de la Fig. 5a modifica el inversor per tal d’encendre un led, quan l’entrada vin = 0V i apagar-lo quan vin = 5V. El funcionament és el següent:

• Quan S=A (Fig. 5b), a l’entrada tenim vin = 5V (VCC). En aquest cas, el BJT Q ha d’entrar en saturació però per a que això passi la resistència de base, RB no pot ser qualsevol. Si vCE = VCE(sat), llavors tenim que

( )CC CE satRC C

C

V Vi i

R−

= = . (14)

Fixeu-vos que si el BJT està en saturació, es compleix que vCE = VCE(sat), la qual cosa és insuficient com per encendre el led (s’hauria de complir que vCE > Vγled = 1.2V). Així, doncs, tenim que per a que el BJT es saturi s’ha de complir que:

( )CC CE satCRB

FE C FE

V Viih R h

−> =

(15)

on iRB s’obtè mitjançant el KVL a la malla d’entrada com,

in BERB

B

v Vi

Rγ−

= . (16)

Page 79: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

79

(a)

(b) (c)

Figura 5. Porta lògica NOT implementada amb BJT i LED. a) Esquema elèctric complet; b) Funcionament quan S = A; c) Funcionament quan S = B.

Substituint (16) en (15) i aïllant RB permet obtenir la condició d’aquest

component per que el BJT estigui en saturació (i no en activa) quan vin = 5V:

( )

0.9in BEB C FE C FE

CC CE sat

v VR R h R h

V Vγ−

<−

(17)

• Per altra banda, quan S=B, vIN = 0V (Fig. 5c) i el BJT ha d’estar en tall. Aquesta opció només és possible si vin < VBEγ (vegeu expressió 4). Com que iB = iC = 0 tot el corrent iRC fluirà cap al led a través de RLED, amb la qual cosa, passarà a ON i s’encendrà. En aquest cas, es compleix que,

CE LED RC ledv R i Vγ= + (18)

on,

CC ledRC

C LED

V Vi

R Rγ−

=+ (19)

Page 80: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

80

En definitiva, per a que el led s’encengui cal que iR1 > 0, la qual cosa succeeix sempre que l’alimentació (habitualment de 5V) superi la tensió del led (VCC > Vγled). Per altra banda, la condició vCE = RLEDiR1 + Vγled > VCE(sat) es compleix i assegura que el BJT estigui en tall quan S=B.

Activitat PRELAB 1. En la plantilla del Bread-Board subministrada a l’annex 3 dibuixi les connexions que haurà de realitzar per muntar el circuit inversor de la Fig. 6 mesurar la tensió de sortida vO = vCE (amb l’oscil·loscopi) i l’entrada vin amb el generador.

• Indiqui tant la distribució de components com la connexió de cadascun dels instruments per realitzar les mesures.

• Representi els senyals que espera veure a l’oscil·loscopi si s’introdueix com a entrada (vin) un senyal TTL de 0 a 5V amb una freqüència f = 2Hz. Assumeixi que vin es troba connectat al canal CH1 i vCE al canal CH2.

Activitat LAB1. Munti el circuit de la Fig. 6 i utilitzi l’oscil·loscopi per obtenir el senyal a la base vB i el de sortida vCE.

• 1) Munti el circuit. 2) Configuri el generador amb una freqüència f = 1Hz i connecti la sortida TTL del generador (0 a 5V) al circuit. 3) Configuri l’oscil·loscopi, segons convingui, per observar un cicle sencer del senyal a la base (CH1) i la sortida (CH2). 4) Representi tots dos senyals i comenti els resultats. Justifiqui els nivells de tensió de cada nivell lògic (“0” i “1” respectivament) a través de les expressions (14) – (19).

3. Interruptors electrònics.

Un dels usos més comuns del BJT treballant en commutació (tall i/o saturació) és el d’interruptor electrònic. Els interruptors electrònics són molt utilitzats per activar i desactivar elèctricament d’altres dispositius, com és el cas del display BCD de set segments2 (Fig. 7).

El display BCD 7 segments (o visualitzador de 7 leds) es un component electrònic utilitzat per la representació numèrica en aparells electrònics. Està format per set leds (8 si, a més, es considera el punt decimal) ubicats i connectats de manera estratègica per formar el número vuit. A cada segment se li denomina “a”, “b”, “c”, “d”, “e”, “f”, “g” i “dp” i estan assemblats de manera que puguin activar-se cadascun per separat. Alguns exemples són:

• El número “8”, activant tots set segments. • El número “0”, activant els segments: “a”, “b”, “c”, “d”, “e” i “f”.

2 Les sigles BCD provenen de l’anglès Binary-Coded Decimal i s’utilitza per designar la conversió d’un nombre decimal a binari. En el cas del BCD 7 segments (o leds), però, per poder representar el nombre decimal al display, cal una conversió binaria addicional decimal-binari-BCD. Un exemple és el circuit integrat 74LS47 que serveix per aquesta tasca.

Page 81: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

81

• El número “2”, activant els segments: “a”, “b”, “e”, “d” i “g”. • El número “4”, activant els segments: “b”, “c”, “f” i “g”.

Figura 6. Connexió de components i instruments del circuit inversor (NOT) de l’activitat LAB1

(a) (b) Figura 7. Circuit integrat BCD 7-segments. a) Vista real del dispositiu, b) Connexió interna de la configuració Càtode comú (esquerra) i Ànode Comú (dreta) Dintre dels displays 7-segments podem trobar de dos tipus: ànode comú i càtode comú. En els d’ànode comú tots els ànodes de cada led estan units en un mateix punt i connectats al terminal comú, que és VCC. Per tant, per encendre el led corresponent cal posar a “0” lògic el pin corresponent mitjançant una resistència per limitar el corrent que circula pel led, i habilitar el xip connectant VCC a 5V. En canvi en els de càtode comú, el càtode és el terminal comú i es connecta a massa (GND), de manera que per encendre el led de cal posar a “1” el pin corresponent també mitjançant una resistència.

El circuit de la Fig. 8 mostra una manera per activar el display BCD 7-segments mitjançant un transistor i, així, mostrar un número segons la posició ON/OFF de cadascun dels micro-interruptors (Fig. 9a) que encenen els leds. Quan S=A la tensió vIN = 0, la qual cosa tallarà el BJT impedint el pas de corrent des de GND cap a terra (iC = 0). Els leds, per tant, estaran apagats. Quan S=B, vIN = 5V i el BJT entrarà en saturació, permetent el pas de corrent entre CE (iC ≠ 0). Per tant, el display quedarà habilitat i

Page 82: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

82

representarà el valor decimal segons la posició dels micro-interruptors. El fet de tancar el circuit entre VCC i GND mitjançant una de les resistències R del integrat 9A102G (Fig. 9b) permet encendre el led corresponent (DLED = ON).

Figura. 8. Circuit per l’activació d’un display BCD 7-segments que s’haurà de muntar a l’activitat LAB2.

(a) (b)

Figura. 9. Altres Componentes del circuit de la Fig. 8. a) Switch-DIP8 usat per l’activació dels leds del BCD 7-segments; b) Integrat 9A102G que conté vuit resistències connectades a un punt comú i que s’usen per polaritzar els leds del BCD 7-segments quan els micro-interruptors estan tancats.

Activitat PRELAB2. Identifiqui els nous components del circuit de la Fig. 8 i dibuixi a la plantilla del Bread-Board les connexions que haurà de realitzar per a que el circuit funcioni.

• Cerqui a la web informació al voltant de l’integrat 9A102G i el BCD 7-segments LSD5355. En el cas de l’integrat 9A102G indiqui el significat d’aquesta

Page 83: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

83

referència i com s’identifica el terminal comú al encapsulat. Quina configuració del BCD 7-segments s’ha d’utilitzar a la Fig. 8: ànode o càtode comú?

Activitat LAB2. Munti el circuit de la Fig. 8 i comprovi el seu funcionament • 1) Munti el circuit al Bread-Board. Intenti distribuir els components de manera

que minimitzi el nombre de fils de connexió a usar. 2) Amb el commutador en la posició S = A. connecti la font d’alimentació VCC = 5V. 3) Amb tots els micro-interruptors del Switch-DIP8 a la posició ON, canvií la posició del commutador (S = B). Pinti els segment corresponents a la xifra observada al display.

• Canvií la posició dels micro-interruptors per tal d’observar altres xifres i/o caràcters. Realitzi tres exemples, i pinti el caràcter escollit al full del resultat. Indiqui quina és la posició dels interruptors a la taula.

• Com utilitzaria el generador de funcions a l’entrdada del circuit per tal de que el número 8 (punt decimal inclòs) s’il·lumini al display de manera intermitent, en intervals de 1 segon? Indiqui la configuració del generador de funcions.

4. Latch SET/RESET (Opcional)

En les funcions digitals que vàreu estudiar al punt 3, la sortida queda determinades per les entrades de la porta lògica en l’instant t actual. Per aquest motiu, es diu que una porta lògica és un sistema combinacional, o “sense memòria”.

Els sistemes electrònics digitals esdevenen interessants quan s’insereixen components amb capacitat de recordar l’estat anterior t-1. Això, entre d’altres coses, permet implementar comptadors, acumuladors aritmètics i, en general, sistemes que executen una procés desprès d’un altre). D’aquí que, es digui que aquest circuits són sistemes seqüencials, o “amb memòria”.

La unitat més bàsica de memòria que es coneix rep el nom de latch (o biestable). Un dels tipus de latch més comuns és la “bàscula RS” (Fig. 9). La seva sortida pot estar a SET Q = 1 o bé a RESET Q = 0. Per forçar un estat o l’altre, cal aplicar la combinació d’entrada corresponent indicada a la Taula 4. Si S = 0 i R = 0, la bàscula manté el valor anterior, “recordant” l’estat que hi havia prèviament (Fig. 10).

Tot i que, a la pràctica, els latchs es fabriquen en circuits integrat. En la Figura 11 es representa l’esquema complet d’un biestable RS amb components discrets, i que inclou BJTs i, al igual que en les portes lògiques, els transistors funcionen de manera que només treballen en tall (IC = 0) o saturació (VCE ≈ 0). El funcionament del circuit és el següent:

• Al connectar l’alimentació VCC, tots dos BJT (T1 i T2) inicien la conducció, doncs les seves respectives bases reben un nivell de tensió positiu: T1 mitjançant les resistències R2 – R6 – R7; i T2 mitjançant les resistències R1 – R5 – R8. Però com que els transistors no són exactament idèntics (degut a les toleràncies del procés de fabricació i impureses en el silici) un es saturarà abans que l’altre.

Page 84: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

84

(a) (b)

Figura 9. Bàscula RS. a) Símbol; b) Implementació amb portes NOR.

Casos S R Q !Q

1 0 0 Q* !Q*

2 0 1 0 1

3 1 0 1 0

4 1 1 X X Taula 4. Taula de la veritat de la bàscula RS. Q* i !Q* denota valor en l’estat anterior previ a aquesta condició. !Q inverteix el valor en Q. X denota valor indeterminat, un valor que tant pot ser “0” com “1”, tot i que en el circuit de la Figura 9.4b seria “0” en totes dues sortides.

Figura 10. Cronograma amb un exemple de funcionament de la bàscula RS. Fixeu-vos en el detall de que amb S = R = OFF, el valor de sortida pot ser tant Q = 0, com Q = 1, segons l’estat precedent.

Page 85: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

85

Figura 11. Esquema elèctric del Flip-flop RS implementat amb components discrets.

Assumiu que al inici, quan S = R = OFF, T1 és el primer que entra en saturació. Llavors, T2 = OFF i iC2 = 0, ja que la tensió a la seva base és insuficient. En aquesta situació, V!Q > VD2 = Vγ i el led D2 estarà encès (D2 = ON). El corrent de base iB1 es determina com:

! 1 11 6 7

2 6 7

Q BE BEB R R

V V Vi i i

R R Rγ γ−

= − = −+ (20)

on V!Q es determina a partir del KCL aplicat al col·lector de T2,

! ! 1 !2 6 2

2 6 2

CC Q Q BE QR R RL

L

V V V V V Vi i i

R R Rγ γ− − −

= + → = + →

6 2 1 2 2 2 6!

6 2 2 2 2 6

CC L BE LQ

L L

V R R V R R V R RV

R R R R R Rγ γ+ +

→ =+ +

(21)

El corrent iB1, per tant, serà suficientment gran com per que T1 segueixi saturat (T1 = ON), baixant dràsticament la tensió col·lector-emissor d’aquest transistor (vQ = VCE1(sat) ≈ 0.2V). La tensió base-emissor de T2 es calcula vBE2 = VCE1(sat)R8/( R8 + R5) < VBE2γ i, per tant, continuarà tallat. Aquests valors pertanyen a l’estat de repòs inicial de RESET (Q = 0 i !Q = 1).

• Suposem ara que tanquem l’interruptor S (S = ON) durant un interval de temps petit. Llavors, el corrent iB2 queda fixat momentàniament per R3 (Fig. 12a). Durant aquest instant, el seu valor és aproximadament:

Page 86: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

86

(a)

(b)

Figura 12 Idea del funcionament de la bàscula RS. a) Condició de SET. b) Condició de RESET. El camí marcat en verd indica el camí que causa la la saturació del BJT, mentre que el vermell indica el camí de circulació de corrent per activar els Leds i posar en saturació el BJT.

2 22

3 5 8 5 8/ / / /CC BE BE

B

V V Vi

R R R R Rγ γ−

= −+ (22)

el qual, és significativament gran com per provocar que T2 entri en saturació (T2 = ON). Llavors, com que (vCE2 = VCE2(sat) ≈ 0.2V) aquest potencial no sols serà insuficient per mantenir el led D2 encès si no que també T1 entrarà en tall (T1 =

Page 87: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

87

OFF). En definitiva, tots dos transistors intercanvien el seu estat (SET: Q =1 i !Q = 0) i el led que ara estarà encès és D1, mentre que D2 està apagat.

Fixeu-vos que aquesta situació es manté fins i tot després de desaparèixer el pols vS(t), (de duració td) doncs encara que posteriorment S = R = OFF, iB2 vindrà donada per una expressió semblant a (20), però amb les resistències R1, R5 i R8,

2 22 5 8

1 5 8

Q BE BEB R R

V V Vi i i

R R Rγ γ−

= − = −+ (23)

de la mateixa manera que la nova tensió VQ és anàloga a (21). Per tant, les noves tensions a les sortides són,

5 1 2 1 1 1 5

! 2( )5 1 1 1 1 5

0CC L BE LQ Q CE sat

L L

V R R V R R V R RV v V

R R R R R Rγ γ+ +

= =+ +

; (24)

• Per tornar un altre cop a la situació de RESET, cal activar R (R = ON). Els transistors tornaran a canviar d’estat (T2 = OFF i T1 = ON) de manera que Q = 0 i !Q = 1 (Fig. 12b). El mecanisme pel qual T1 torna a ON és anàleg al que tot just acabem d’explicar per al transistor T2 i les tensions vindran donades un altra cop per (21).

Activitat PRELAB 3. Dibuixi sobre la plantilla el les connexions del latch RS (Fig. 11) que haurà de muntar al laboratori.

Activitat LAB 3. Comprovi el funcionament del flip-flop RS.

• 1) Munti el circuit. Utilitzi els següents components:

T1 = T2 = BC547C, R1 = R2 = 1k, R3 = R4 = 1k2, R5 = R6 = 10k, R7 =R8 =100k, RLED1 = RLED2 = 1k8, D1 = D2 leds de tensió umbral Vγ=1.2V.

• 2) Connecti una alimentació VCC = 5V i anoti quin dels dos leds s’encén. 3) En cas de que s’hagi encès el led D1, premi el polsador R. En l’altre cas (D2 = ON) premi S (en qualsevol cas, els leds haurien de canviar el seu estat). 4) Comprovi que tornant a prémer el mateix polsador (R o S segons el cas) no succeeix res. 5) Premi ara l’altre polsador per tornar a l’estat inicial.

NOTA: Si no hi ha polsadors a la caixa, utilitzeu un fil conductor i connecteu-lo momentàniament a VCC per emular l’efecte de prémer al polsador vS(t) i vR(t) connectant els extrems de les resistències R3 i R4 a l’alimentació (VCC) mitjançant fils de connexió.

• Expliqui una de les possibles utilitats d’aquest circuit en l’electrònica digital.

Page 88: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

88

Annex 1 Aplicacions del BJT treballant en la regió d’activa

A continuació es comenten dues aplicacions típiques del BJT treballant en activa. El primer cas (A1.1) correspon als amplificadors de senyal, l’aplicació més habitual amb aquest dispositiu, mentre que en el segon (A1.2) es tractaran la implementació de fonts de corrent independents.

A1.1 Amplificadors de senyal amb BJT

Una de les aplicacions més rellevants on el BJT treballa “sempre” en activa és l’amplificador de tensió (també conegut com amplificador de senyal dintre de l’àmbit industrial). Aquest sistema electrònic s’utilitza bastant sovint per amplificar senyals elèctriques d’àudio o bé sensors. Bàsicament, la seva funció consisteix en “incrementar” la magnitud i/o la potència del senyal en una font d’entrada.

Una manera de representar aquesta funció matemàticament és per mitjà de la següent expressió:

( ) ( )out inv t kv t= (1)

on k > 1 es coneix com el factor de guany del sistema, vout correspon la tensió de sortida del sistema electrònic i vin és la tensió en la font d’entrada. La Figura A1 dóna una idea gràfica del comportament d’aquest sistema. Com es pot observar, la pendent de lo corba vout – vin (Fig. A2) dóna una idea de l’augment de magnitud a la sortida.

Una manera d’implementar un amplificador de senyal amb BJT seria substituir vin del circuit de la Figura 3 per la pròpia “font” que genera el senyal en el temps vin(t). Malauradament, en aquest circuit el BJT npn només funcionaria quan vin > VBEγ, amb la qual cosa únicament serviria per amplificar els valors positius de vin. Aquest problema es podria solucionar incorporant “una bateria en sèrie” amb vin que generi un “desplaçament de tensió” per tal de que tots els valors de vin fossin positius, però això implicaria encarir el cost del producte innecessàriament doncs cal una segona font d’alimentació al sistema electrònic.

El circuit amb BJT de la Figura A3 soluciona aquest problema i implementa un sistema capaç de generar aquest desplaçament amb “una única” font d’alimentació i, al mateix temps amplificar els senyals als dos semicicles”. Aquest rep el nom d’amplificador BJT en emissor comú (EC). El procediment que permet analitzar el seu funcionament i, per tant, permet dissenyar-lo és el que s’explica tot seguit:

A. Desplaçament VOUT (conegut com a “polarització” ó biasing en anglès):

Es determina considerant només les fonts independents de tensió en contínua (DC) i desconnectant el generador (vin(t) = 0). Si existeixen elements capacitius es considera que, per s = 0, ZC(s) → ∞. De fet, C1 té la missió de permetre la polarització del BJT en DC per mitjà de R2 i connectar (en AC) la font d’entrada al circuit per amplificar-la.

Page 89: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

89

Figura A1. Diagrama de blocs del amplificador de senyal. La magnitud de vin es veu incrementada per un factor k desprès de ser processada per l’amplificador

Figura A2. Característica vout – vin del amplificador de senyal

Figura A3 Esquema elèctric de l’amplificador amb BJT en Emissor Comú (EC)

En aquest cas, el circuit es simplifica a l’esquema de la Fig. A4. Si es considera que el BJT treballa en activa, el corrent de base IB es calcula aplicant KVL a la base. D’aquesta manera, tenim:

( )21 2

1 2

/ / 0.CC B BE E ERV R R I V R I

R R γ− − − =+ (2)

Page 90: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

90

(a) (b) Figura A4. Esquema per l’anàlisi de l’amplificador de senyal amb BJT en emissor comú (EC). a) Esquema per l’anàlisi DC. b) Esquema per l’anàlisi AC Donat que IE = IB + IC = IB(hFE + 1), aïllant IB obtenim:

( )( )( )

2 1 2

1 2/ / 1CC BE

BE FE

V R R R VI

R R R hγ+ −

=+ + (3)

on el terme RE(hFE +1) és la resistència d’entrada del BJT en DC (Rin(BJT)) en aquest circuit. Fixeu-vos que en el cas Rin(BJT) >> R1//R2 (com a mínim 10 vegades: Rin(BJT) ≈ 10 R1//R2), llavors IC que es calcula com

B BEC FE B

E

V VI h I

Rγ−

= (4)

queda fixada per la tensió de base del BJT, VB = VCC(R2/(R1 + R2)) i la resistència RE. Per tant, és la tensió de base la que “controla” el corrent que entra al col·lector. D’aquesta manera, el corrent de base es pot menysprear (IB ≈ 0) i el desplaçament de sortida VOUT es calcula fàcilment com:

B BEOUT CC C C CC C

E

V VV V R I V R

Rγ−

= − = − (5)

B. Determinació del guany del sistema (k):

El factor de guany (k) es determina considerant només les fonts independents de tensió en alterna (AC) i desconnectant la font d’alimentació (VCC = 0). Si existeixen elements capacitius, es considera la seva impedància (ó resistència AC) nul·la, doncs a una freqüència relativament alta (s = jω = j2πf on f =1000) tenim que el mòdul de la impedància |ZC(s)| → 0. D’aquesta manera, el condensador C1 connecta la font d’alterna a la base del BJT i, per tant la resistència d’entrada de l’amplificador, Ri serà:

Page 91: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

91

( )1 2 ( ) 1 2/ / / / / / / / 1in in BJT E FER R R R R R R h= = + (6)

Com que aquest valor és, normalment, bastant gran i la tensió de base, vb(t) = vin(t), és bastant petita, tenim que el corrent de base serà pràcticament nul ib(t) = vin(t)/Rin ≈ 0. A més com que el BJT està funcionant en la regió d’activa “sempre”, a nivell teòric es pot concloure que la tensió base-emissor no experimenta variacions, vbe(t) = 0.

Per aquest motiu, el corrent de col·lector en alterna, ic(t) serà:

( ) ( ) ( )RE inc

E E

v t v ti t

R R= = (7)

Per últim, com que vo(t) = -vRC(t) = -RCic(t) la sortida serà:

( ) ( )inout C

E

v tv t R

R= − (8)

Per tant, finalment el factor de guany k es determina com:

( )( )

out C

in E

v t Rkv t R

= = − (9)

D’aquesta manera, l’expressió total de la tensió de sortida, vOUT(t) és la superposició de (5) i (9):

( ) ( ) ( )( )COUT OUT out CC B BE in

E

Rv t V v t V V V v tR γ= + = − − + (10)

C. Determinació del marge dinàmic de l’amplificador (∆vout):

Es defineix com marge dinàmic a la limitació de màxima variació de sortida del amplificador ∆vout (expressada en valor de pic) sense que els components actius (en el nostre cas, el BJT) deixi de treballar en la regió lineal (zona d’activa del BJT).

Donat que vin(t), idealment, pot tenir qualsevol amplitud, en un principi no hi ha límit màxim pel valor de sortida. A la pràctica, però, això no és cert doncs el BJT pot sortir de la regió d’activa amb la qual cosa l’amplificador deixa de realitzar la seva funció.

La Figura A5 il·lustra l’arrel d’aquest problema. Quan vin(t) = 0, la font d’alimentació fixa la coordenada Q = (IC, VCE) en el BJT que estableix el seu punt de repòs (o de referència). Quan l’entrada varia, aquest punt experimenta un moviment al llarg de la “recta”, la qual, ve definida pel disseny de les resistències RC i RE.

L’expressió d’aquesta recta, es determina superposant els dos tipus d’anàlisi que hem realitzat: el DC i AC. Així, si s’aplica KVL en la malla de sortida del circuit en AC (Fig. A4b) tenim que:

Page 92: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

92

(a) (b)

Figura A5. Funcionament de l’amplificador de senyal. a) Evolució de la tensió de sortida vOUT(t). b) Situació del BJT quan s’aplica un senyal altern vin(t). EL senyal de sortida vOUT(t) està desplaçat un cert offsett (VOUT) i, en el cas de que l’amplitud de vIN sigui molt gran, es veuria retallada tal i com mostra la traça discontínua.

( ) 0ce C E cv R R i+ + = (11)

i, per tant, el corrent de col·lector ic (en AC) és:

cec

C E

viR R

= −+ (12)

Aquesta component AC representa les variacions de iC i vCE respectivament:

CEC

C E

viR R∆

∆ =+ (13)

El rang de variació de vOUT es calcula particularitzant Q per als dos extrems de la recta (Fig. A5b), els quals, corresponen al límit de saturació del BJT, per una banda, i el tall per l’altre. D’aquesta manera, quan el BJT entra en saturació, tenim que vCE = VCE(sat) i, per tant, ∆vCE = VCE - VCE(sat). Llavors, la màxima variació

( )( ) ( ) ( )C

OUT sat C C sat CE CE satC E

Rv R i V VR R

∆ = ∆ = −+ (14)

Per altra banda, quan el BJT entra en tall tenim que:

( ) ( )OUT tall C C tall C Cv R i R I∆ = ∆ = (15) El marge dinàmic de l’amplificador vindrà, doncs, determinat pel valor mínim d’aquests dos paràmetres:

( ) )min ,OUT OUT tall OUTsatv v v∆ = ∆ ∆ (16)

Page 93: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

93

Habitualment, la sortida del circuit de l’amplificador es connecta com entrada d’altres sistemes electrònics. En aquest cas, si no s’aïlla la part corresponent al funcionament en DC (VOUT en l’expressió 10), el comportament de l’amplificador podria quedar alterat i no funcionar correctament.

Per aïllar aquesta component, una possible opció passaria per introduir un condensador entre el col·lector i sortida, CL. El circuit de la Fig. A6 és molt més realista i pràctica ja que, a més, inclou una resistència RL que representa la impedància d’entrada d’un altre sistema electrònic.

Amb aquest canvi, el comportament DC no es veu afectat, però cal substituir RC per RC//RL en (10), (14) i (15) doncs, en AC, aquestes dues resistències queden en paral·lel. Per tant, el factor de guany (k) i el marge dinàmic queden com:

( )( )

/ /' out C L

in E

v t R Rkv t R

= = − (17)

( )( )( )( )

/ // /

C L CE CE satOUT sat

C L E

R R V Vv

R R R−

∆ =+ (18)

( )( ) / /OUT tall C L Cv R R I∆ = (19)

Donat que (17) es pot reescriure com:

( )( )

out C L L

in E C L out L

v t R R Rkv t R R R R R

= − =+ + (20)

el sistema amplificador és pot representar amb un circuit molt més simple i que ajuda a comprendre el seu funcionament a un nivell molt més general (Fig. A7), on Rout = RC correspon a la resistència de sortida de l’amplificador i que també esdevenen especificacions del amplificador des d’un punt de vista tècnic.

Figura A6. Amplificador de senyal, amb descoblament DC a la sortida i resistència de càrrega RL.

Page 94: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

94

Figura A7. Esquema general de l’amplificador de senyal. A efectes teòrics, es pot considerar que un amplificador es modela com una “font controlada” de tensió que depén de vin. Les resistències Rin i Rout

determinen les pèrdues de guany quan l’amplificador es connecta com a etapa d’un sistema electrònic format per vàries etapes.

Page 95: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

95

A1.2 Fonts de corrent

Tot i que habitualment s’utilitzen fonts de tensió per alimentar circuits, les fonts de corrent també juguen un paper molt important dins dels sistemes electrònics. Integradors, generadors de rampa o dent de serra sovint són sistemes electrònics que necessiten de fonts de corrent per funcionar correctament.

La manera més senzilla possible d’implementar una font d’aquest estil és per mitjà del circuit de la Figura A8. En aquest cas, sempre que la càrrega RL sigui bastant petita en comparació amb R (R >> RL) el corrent serà bastant constant i aproximadament:

I = vI/R (21)

Malauradament, el principal inconvenient d’aquest circuit és que es necessiten valors mol elevats de vI per tal d’obtenir valors moderats de corrent. Un altre problema, és que el seu valor no es pot programar tan fàcilment (i.e. per mitjà d’un potenciòmetre) en un rang determinat de valors.

Una manera fàcil d’implementar una font de corrent que es pugui configurar el seu valor consisteix en utilitzar components actius, com el BJT (Fig. A9). Si s’aplica una tensió VI, tal que VI > VBEγ l’emissor sempre condueix i la seva tensió serà:

VE = VI - VBEγ (22) Per tant,

IE = VE/RE = (VI - VBEγ)/RE, (23)

però com que IC ≈ IE per valors grans de hFE obtenim que:

IC ≈ (VI - VBEγ)/RE (24)

Fixeu-vos que aquest valor de corrent, és constant, per molt que VC variï (sempre i quan el BJT no entri en saturació: VC > VE + VCE(sat)).

La tensió VI a la base es pot subministrar de diverses maneres. El divisor de tensió (Fig. A10a) serà suficient sempre que aquest no “carregui” (que no alteri el comportament) a la base. la condició és que la resistència equivalent que es connecta a la base sigui bastant inferior a RE(hFE +1). Més còmode i senzill, però, és utilitzar un díode zener polaritzat amb VCC (Fig. 10b) o uns quants díodes en sèrie (Fig. 10c). En aquest últim cas, la utilització d’un transistor pnp permet connectar la càrrega a terra i, així, treballar amb tensions que no siguin flotants (que siguin unipolars).

(a) (b)

Figura A8. Font de corrent. a) Símbol i esquema general. b) Implementació amb divisor de tensió.

Page 96: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

96

Figura A9. Font de corrent amb BJT controlada per tensió VI

(a) (b) (c)

Figura A10. Tres maneres de polaritzar el BJT per construir una font de corrent. a) Divisor de tensió amb càrrega flotant. b) Díode zener. c) Transistor pnp amb càrrega connectada a terra.

Page 97: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

97

Annex 2 – Full de resultats del TREBALL PREVI

NOTA: És OBLIGATORI realitzar el treball previ ABANS DE LA SESSIÓ DE PRÀCTIQUES

Escola Politècnica Superior d’Enginyeria de Vilanova i la Geltrú

EEL

Sistemes Electrònics (SIEK)

Pràctica 4: Electrònica de commutació: El transistor Bipolar (BJT)

TREBALL PREVI

Estudiants: Data:

PRELAB 0: Dibuixi el contorn del transistor bipolar BC547C i identifiqui els pins corresponents al terminal col·lector, base i emissor

Paràmetre Valor indicat pel fabricant

Observacions: Comenti les condicions en que el fabricant aporta les dades (proves, condicions funcionament)

hFE

VBEγ

VCEsat

Taula A2.1 Anoti la informació relativa al BC547C que ha trobat al full de característiques

Page 98: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

98

PRELAB 1. Circuit inversor (NOT) amb BJT.

Formes d’ona que s’esperen veure a vB(t) i vCE(t)

CH1 VOLT/:

Zero POS:

CH2 VOLT/:

Zero POS:

TIME/:

Acob:

PRELAB 2: Circuit d’habilitació BCD 7-segments. Representi la connexió interna del circuit integrat 9A102G i BCD 7-segments LSD5355

Page 99: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

99

Significat de la referència 9A102G:

Terminal comú en el circuit integrat 9A102G:__________________________________

Configuració del BCD 7-segments LSD5355:__________________________________

PRELAB 3 (Opcional). Flip-Flop SET/RESET (RS)

Page 100: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

100

Annex 3 –Treball de Laboratori

NOTA: És OBLIGATORI portar imprès aquest formulari el dia de la sessió de la pràctica

Escola Politècnica Superior d’Enginyeria de Vilanova i la Geltrú

EEL

Sistemes Electrònics (SIEK)

Pràctica 4: Electrònica de commutació: El transistor Bipolar (BJT)

FULL DE RESULTATS

Estudiants: Data:

LAB 1. Circuit inversor (NOT) amb BJT. Formes d’ona de vB(t) i vCE(t)

CH1 VOLT/:

Zero POS:

CH2 VOLT/:

Zero POS:

TIME/:

Acob:

Page 101: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

101

Valors observats de vB i vCE en tall i saturació

VB(Tall) : ___________ VB(Saturació) : ___________

VCE(Tall) : ___________ VCE(Saturació) : ___________

LAB 2. Interruptor electrònic amb BCD 7-segments

• Visualització obtinguda amb S = B i S1=...=S8 = ON3

• Visualització obtinguda amb S = B i tres exemples seleccionats a l’atzar

1) 2) 3)

Exemple S1 S2 S3 S4 S5 S6 S7 S8

1

2

3

Taula A3.1 Anoti els estats dels microinterruptors per cada exemple que hagi seleccionat Utilitzi la notació ON per indicar si el microinterruptor està TANCAT, i OFF si està OBERT.

3 Pinti els segments dels leds que cregui s’imuminaran amb la configuración indicada

Page 102: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

102

• Indiqui la configuració del generador que ha utilitzat per veure el número “8” (punt decimal inclòs) de manera intermitent en intervals de UN SEGON.

- SORTIDA: TTL 50Ω. - FUNCIÓ: Defecte SQUARE SINUS TRIANGULAR - OFFSET: Defecte Valor: _____________ - AMPLITUD: Defecte Valor: _____________ - FREQÜÈNCIA: ________________

LAB 3 (Opcional). Flip-Flop SET/RESET (RS)

Expliqui el comportament observat respecte al Flip-Flop RS i expliqui quina utilitat pot tenir aquest circuit en l’electrònica digital

__________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

Page 103: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

103

Pràctica 5.- Electrònica Analògica: L’Amplificador Operacional (OPAMP) i les aplicacions d’àudio Objectiu: Conèixer a nivell pràctic el funcionament de l’amplificador operacional i entendre la seva aplicació en sistemes electrònics analògics, com poden ser les aplicacions d’àudio i generació de senyal.

1 Introducció

L’amplificador operacional (en anglès, OPAMP – OPerational AMPlifier) és un amplificador de tensió integrat amb un guany de tensió “extremadament alt”. Per exemple, el popular 741 presenta un guany típic k = 200,000, mentre que operacionals més cars i amb més prestacions, com el OP-77 té un guany k = 12,000,000. Degut a aquests valors tan elevats, el guany sols expressar-se en V/mV (o V/μV) i també en decibels. En aquest darrer cas, el canvi d’escala que s’aplica és

( )1020 logdB

k k= . (1)

Així, doncs l’operacional OP-77 presenta un guany de 12V/μV que equival a 141.6 dBs.

La Figura 1a mostra el símbol elèctric d’aquest component així com la connexió de l’alimentació DC que cal realitzar perquè funcioni (tot i que sovint no es sol representar per simplificar l’esquema elèctric). Les entrades etiquetades com “-” i “+” es coneixem com entrada inversora i no inversora respectivament. Tant els voltatges d’entrada vN com vP així com la sortida vO s’especifiquen respecte de massa; i la forma de fletxa que presenta el dispositiu (cap a la dreta) indica el sentit de la transmissió entrada-sortida dels senyals. La Figura 1b, per la seva banda, mostra el model de comportament del OPAMP quan està degudament alimentat. Tot i que internament l’operacional no té terminal de terra, el model inclou el punt comú de connexió de la font de la Fig. 1a, una font de tensió controlada per vD amb un factor de guany k, una resistència diferencial d’entrada rd i una resistència de sortida ro

La Figura 2 mostra la característica de transferència entrada-sortida (vO-vD) d’aquest component, sense càrrega a la sortida. Assumint que vD = vP – vN correspon a la tensió diferencial d’entrada, aquest comportament s’expressa matemàticament com

, si

,si

, si

OHOH D

OL OHO D D

OLOL D

VV vk

V Vv kv vk k

VV vk

>= ≤ ≤

<

. (2)

Page 104: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

104

(a) (b)

Figura 1. Amplificador operacional: a) Símbol i connexió de l’alimentació; b) Model elèctric del funcionament intern com amplificador de tensió. Els valors indicats en vermell (esquerra) indiquen el pin de connexió del model LM741 que és el majoritàriament usat en els circuits integrats de 8 terminals.

Figura 2. Característica vO – vD de l’amplificador operacional. S’ha ampliat l’escala horitzontal de la part central (de l’ordre de μV) per apreciar amb detall la part lineal.

Aquí, VOH = v(7) - VDrop-out i VOL = v(4) + VDrop-out estableixen el marge dinàmic que presenta l’amplificador en la zona lineal (part central de la Fig. 2), i que depèn del valor de l’alimentació positiva v(7) fixada (entre el pin 7 i terra) i l’alimentació negativa v(4). El valor de VDrop-out, en canvi, s’ha de consultar al full de característiques que subministra el fabricant (un valor típic és VDrop-out = 2V, en el model 741).

Així, si el 741 s’alimenta v(7) = -v(4) = VCC = 15V el rang màxim de sortida VOL < vO < VOH és ΔvO = ±13V. Això equival a un rang dinàmic a l’entrada ΔvD = ΔvO /k = 65μV. Per exemple, una sortida (sense càrrega) vO = 6V requereix una entrada tan petita com vD = 30μV.

Connectant components externs s’obtenen diferents circuits amb operacional. En aquest sentit, és bastant important entendre la diferència d’un circuit amb operacional i l’operacional en sí mateix com a component únic. En general, un circuit amb operacional

Page 105: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

105

considera a aquest element com un component més del sistema. Un exemple bàsic consisteix en l’amplificador no inversor.

Activitat PRELAB0. Identifiqui els nous components que utilitzarà a la pràctica: operacional i transistors de potència.

• A la web, cerqui informació al voltant de les referències LM741 (o UA741), i els BJT de potència: BD243 i BD244. Trobi el full de característiques de cada dispositiu i representi de manera aproximada els seus encapsulats. Indiqui la funció de cadascun dels seus pins.

• Consulti el full de característiques i especifiqui el guany de tensió (k) la tensió de VDrop-out així com el valor VCC màxim al que es pot alimentar el dispositiu.

2. L’amplificador no inversor

El circuit de la Figura 3 consta d’un operacional i dues resistències: R1 i R2. Per entendre la seva funció, és necessari trobar una relació entre vIN i vOUT. Amb aquest propòsit, s’ha redibuixat aquest circuit amb el model equivalent de l’operacional (Fig. 1b), s’ha simplificat l’esquema elèctric considerant que rD →∞, i que ro→0, i s’han redistribuït els elements estratègicament per tal de ressaltar el rol de cada element en el diagrama de control (Fig. 4b).

L’expressió de vOUT es pot trobar mitjançant (2) però abans cal saber què val vP i vN, respectivament. En primer lloc, per simple inspecció, resulta que

P INv v= (3)

Per altra banda, la tensió vN és calcula a partir del divisor de tensió de sortida

1

1 2N OUT

Rv vR R

=+ . (3)

De fet, vN correspon al “mostreig” de la sortida, caracteritzat per la porció de vOUT que es retornat cap a l’entrada, per ser comparat, i així establir l’error del sistema,

1

1 2D P N IN OUT

Rv v v v v vR Rε = = − = −+ . (4)

Agafant la relació vOUT = kvD permet obtenir,

1

1 2O IN OUT

Rv k v vR R

= − +

. (5)

Si es compacta (5) i es determina la relació vOUT / vIN s’obté,

Page 106: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

106

(a) (b)

Figura 3. Amplificador de tensió no inversor. a) Esquema elèctric; b) Diagrama de blocs utilitzat per la configuració no inversora i que tracta de minimitzar l’error vD = vIN – vN per tal de que l’entrada segueixi el mostreig vP = vOUTR1/(R1+R2) per desenvolupar la seva funció.

1

1 2

'1 1

OUT

IN

v A kk Rv A kR R

β= = =

+ ++

(6)

on k = A i β = R1 / (R1 + R2). Per tant, el resultat revela que el circuit amb amplificador operacional de la Fig. 3 és un altre amplificador de guany diferent. Això és deu a que els dos amplificadors, tot i compartir la mateixa sortida vOUT utilitzen entrades diferents: vD en el cas de l’operacional i vIN el circuit. Per tant, per diferenciar els dos paràmetres, a k se li denomina guany en llaç obert, mentre que k’ correspon al guany en llaç tancat.

• L’amplificador operacional ideal

Si es considera l’operacional com un simple component, i tenint en compte que l’amplificador no inversor ha estat senzill d’analitzar, la pregunta que sorgeix és si existeix alguna tècnica d’anàlisi que ens permeti obtenir el comportament de circuits més complexos amb operacionals, però alliberant-nos de l’àlgebra més tediosa.

Aquesta tècnica existeix i es basa en la idea de que, quan l’operacional treballa amb realimentació negativa, per k →∞ l’entrada diferencial és nul·la (vD = vOUT / ∞ = 0). Per tant, com que vD = vP – vN en el límit tindrem que,

lim N Pkv v

→∞= . (7)

Aquesta propietat, coneguda com restricció de tensió d’entrada provoca que els terminals d’entrada semblin curtcircuitats tot i que en realitat no ho estan. A més, com que la resistència diferencial d’entrada també és molt elevada (rD→∞) el corrent que entra pels terminals “+” i “-“ es pot considerar nul. És a dir, des del punt de vista de tensió les entrades estan curtcircuitades, però des del punt de vista del corrent estan obertes. D’aquí que a aquesta manera de funcionar moltes vegades se li denomini en l’àmbit col·loquial com “curtcircuit virtual”.

Page 107: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

107

Definició 1: L’amplificador operacional ideal és aquell amplificador operacional que, amb realimentació negativa, subministra la tensió i el corrent vOUT ,iOUT que es necessita per forçar vD = 0 (o bé que vN segueixi vP) sense injectar corrent als terminals d’entrada (iN = iP = 0).

En el circuit no inversor (Fig. 1b) es pot veure que la tensió vN és la que segueix vP i no a l’inrevés. Conseqüentment, l’operacional controla la tensió vN mitjançant la xarxa resistiva i es manté sempre en la zona lineal (tram central de la Fig. 2), de manera que idealment el guany de tensió del circuit és

' 2

1

1lim 11

OUTideal A

IN

v RAkv A Rβ β→∞

= = = ++

(8)

Per tant, sense realimentació negativa l’operacional seria incapaç de governar vN i l’expressió (7) no es podria complir.

Per entendre millor l’ús d’aquesta tècnica d’anàlisi en aquesta aplicació, consideri l’esquema de la Figura 4. Si s’aplica la definició anterior, per simple inspecció s’obté que vP = vN = vIN(t). Per altra banda, com que els corrents d’entrada al operacional són nuls (iN

= iP = 0), tenim que iR1 = iR2, amb la qual cosa es compleix que

( ) ( ) ( )1 2

0 IN IN OUTv t v t v tR R

− −= . (9)

Aïllant el terme vOUT(t)/vIN(t) de l’expressió (9), permet obtenir el guany de l’amplificador,

2

1

1OUT

IN

v Rv R

= + (10)

que es correspon amb l’obtingut mitjançant (8). En general, haurà realimentació negativa sempre que existeixi un mostreig de la sortida cap vN (connexió de vOUT cap a vN, i de vN a terra). En canvi, si la realimentació és positiva (camí cap a vP enlloc de vN), o no hi ha realimentació, l’operacional es comporta com un comparador de tensió: saturació positiva (VOH si vP > vN) o saturació negativa (VOL si vP < vN; ).

3. Driver de corrent

Òbviament, existeixen multitud d’aplicacions electròniques on els amplificadors operacionals s’utilitzen treballant amb realimentació negativa. El fet de que la sortida es pugui fixar al marge de la càrrega o circuit que se li connecta, fan a l’operacional candidat ideal per desenvolupar sistemes electrònics en cascada (connectats un rere l’altre). En l’Annex 1 podeu trobar un recull de les configuracions amplificadores més bàsiques amb un o varis operacionals.

Page 108: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

108

Figura 4. Anàlisi del circuit amb operacional, amplificador no inversor, aplicant la definició d’amplificador operacional no ideal.

A la pràctica, però, la definició d’operacional ideal només és vàlida dintre de les limitacions indicades pel fabricant i, per tant, cal tenir-les en compte per aquest objectiu. Per exemple, un pot pensar fer servir l’amplificador no inversor de la Fig. 3 com aplicació d’àudio i connectar un altaveu de 8Ω a la seva sortida. No obstant, si VOUT = 10V l’operacional haurà d’injectar un mínim de 1.25A, cosa poc assumible per circuits integrats com el LM741 que al ser de propòsit general només pot oferir un corrent IOmax = 10mA.

En aquests casos on es necessita més injecció de corrent, la solució passa per connectar una etapa enmig dels dos elements, a mode de driver1 de corrent (Fig. 5a). Un driver de corrent és una etapa que presenta un guany de tensió KV = vOUT/vIN ≈ 1, però que amplifica ostensiblement el corrent a la sortida (iOUT >> iIN).

La Figura 5b, mostra una possible manera de realitzar aquesta operació. El circuit consta de dos transistors complementaris (npn i pnp) connectats d’una manera en que no poden treballar en la regió d’activa simultàniament. Quan l’entrada es troba en el rang –VEBγ ≤ vIN ≤ VBEγ, tots dos transistors es trobaran en tall ja que aquest potencial és insuficient per a que la unió base-emissor condueixi i, per tant, la sortida vOUT serà nul·la. Tan bon punt vIN ≥ VBEγ, QN entra en activa i la sortida es connecta a l’entrada mitjançant la unió base-emissor. És a dir,

( ) ( )OUT IN BEv t v t V γ= − ,. (12)

Llavors l’excedent de corrent sol·licitat per la càrrega serà subministrada per la font VCC a través de QN i la sortida serà positiva. Mentrestant, QP estarà en tall doncs la unió emissor-base d’aques transistor estarà inversament polaritzada: vEB = -VBEγ (<VEBγ).

1 En l’àmbit electrònic, el terme driver s’utilitza per designar a un circuit que realitza la funció d’intermediari en transferir un senyal analògic d’una etapa cap un altre, quan aquestes dues no són “compatibles elèctricament”. D’aquí el terme anglès driver per denotar la funció de “conduir” un senyal d’un sistema cap a l’altre.

Page 109: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

109

a) b)

Figura 5. Driver de corrent. a) Símbol general amb la connexió de l’alimentació i la càrrega. b) Esquema elèctric de la configuració push-pull mitjançant transistors complementaris.

En canvi, si vIN ≤ -VEBγ els transistors intercanvien la seva funció i QP serà el que estigui ara en activa. Un altre cop, l’entrada quedarà connectada a la sortida (aquesta vegada mitjançant QP), la qual, tindrà valor negatiu

( ) ( )OUT IN EBv t v t V γ= + . (13)

El corrent doncs serà derivat cap a –VCC. A aquesta manera de funcionar se li denomina col·loquialment push-pull degut a que QN “injecta” (empeny) corrent cap a la sortida quan vI és positiva, i QP la “treu” (estira) cap a fora quan vI és negativa.

La Figura 6a mostra la característica de transferència del circuit. Quan l’entrada sigui prou gran com per saturar QN, la sortida arribarà al valor màxim VOUT = VCC – VCESAT i, anàlogament per QP el mínim serà –VOUT = -VCC + VECSAT (Fig. 6b). Aquests valors defineixen el marge dinàmic que, com es pot comprovar, depèn pràcticament del valor d’alimentació ∆vOUT ≈ ± VCC.

La zona morta correspon al rang en que tots dos transistors estan en tall i produeix el que es denomina com distorsió de “creuament” (del anglès crossover), i que es caracteritza per produir un soroll bastant molest a l’altaveu, sobretot per nivells baixos de tensió de vIN. Amb la configuració no inversora, aquesta distorsió es redueix considerablement posant el driver entre l’operacional i l’altaveu, i connectant R2 a l’altaveu enlloc de la sortida de l’operacional (Fig. 7a). Llavors, la zona morta de ±0.7V (VBEγ) es pràcticament nul·la (∆vi

= ±0.7V/k, on k correspon al guany de tensió de l’operacional en llaç obert).

A la pràctica, però, l’operacional ha d’oferir una transició molt rapida en la zona morta ∆vC

= ±0.7V per tal de no deformar la sortida (Fig. 7b), i la distorsió es notarà lleugerament, especialment a altes freqüències. Per exemple, el fabricant del LM741 indica un Slew-Rate, definit com

Page 110: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

110

(a) (b)

Figura 6. Característica de transferència del driver de corrent. a) Relació vOUT-vIN . b) Exemples de formes d’ona amb una entrada vIN sinusoïdal (negre) i la seva sortida, vOUT (vermell).

(a) (b)

Figura 7. Reducció de distorsió de crossover mitjançant operacional. a) Esquema elèctric del amplificador d’àudio. b) Formes d’ona representatives del circuit a una entrada sinusoïdal: vIN (blau), tensió a la base dels BJT vC (verd) i sortida vOUT (vermell).

max

CdvSRdt

= , (14)

de 0.5V/µseg. Es pot demostrar que per ∆vC = ±15V la freqüència màxima sense distorsió, que es determina com,

maxC2

SRfvπ

=∆ , (15)

es fmax = 2.65KHz. D’altres operacionals d’ús més específic milloren algunes de les seves característiques. Ja s’ha comentat, per exemple, que el OP-77 presenta un guany en llaç

Page 111: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

111

obert molt elevat, però d’altres operacionals poden arribar a incrementar el Slew-Rate fins a 100V/µseg.

Per altra banda, malgrat que la configuració amb transistors complementaris ofereix un corrent iL elevat, el corrent demandat per l’etapa driver (iB ≈ iL/(hFE+1)2 pot estar també fora de l’abast del operacional, doncs el paràmetre hFE dels BJT de potència3 no acostumen a tenir un valor hFE gaire elevat (habitualment hFE <100). Per reduir el corrent requerit a l’entrada del driver, la configuració Darlington (Fig. 8) generalment s’utilitza per substituir el transistor npn4. Com que,

( ) ( )( )1

1 1 21 1 1E L

BNFE FE FE

i iih h h

=+ + +

(16)

aquesta configuració és equivalent a un transistor npn de guany hFE ≈ hFE1hFE2, on hFE1 correspon a un BJT de baixa potència de hFE1(typ) ≈ 500. Així, si hFE2 = 100 el guany global serà hFE ≈ 500,000, d’aquí que a aquesta configuració se la conegui popularment com “super-transistor”. La tensió base-emissor equivalent, no obstant, serà el doble: vBE = 2VBEγ.

El marge dinàmic de la configuració Darlington, és el mateix que amb un únic transistor ∆vOUT ≈ ± VCC ja que, com vCEN = vCE1 + vBEN i habitualment VCENSAT > VCE1SAT

5, quan QN

entri en saturació Q1 encara estarà en activa. Per tant, la potència màxima subministrada a l’altaveu serà

( )22 2

max

2 2OUTef CC CESATO

LefL L L

v V VVPR R R

−= = = (17)

Activitat PRELAB1. A la plantilla del Bread-Board, indiqui la distribució de components i connexions del amplificador d’àudio de la Figura 9 que haurà d’implementar al laboratori. Inclogui també la connexió dels instruments per comprovar el funcionament i realitzar les mesures.

• Busqui informació al voltant del potenciòmetre i identifiqui els tres terminals. • Indiqui la configuració de la font per tal d’obtenir una tensió simètrica i especifiqui

com es connecta al circuit. • Representi el circuit a la plantilla. Inclogui la connexió de l’altaveu a la sortida i la

connexió del potenciòmetre logarítmic, juntament amb el terminal (JACK) per poder connectar el PC a l’entrada.

2 Per raons de brevetat en l’anàlisi, es considera que iL >> iR2. 3 Generalment, un BJT de potència és aquell amb alta capacitat de corrent de col·lector (IC > 1A) però presenta l’inconvenient, si es compara amb els BJT de petit senyal, que el paràmetre de guany hFE és baix. 4 Anàlogament, el transistor pnp també es substitueix per la configuració Darlington 5 La saturació del BJT de potència succeeix a una VCESAT que és lleugerament superior a la tensió del BJT de petit senyal (entre 1 o 2V) aproximadament.

Page 112: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

112

Figura 8. La configuració Darlington

Figura 9. Esquema de l’amplificador d’àudio complet.

Activitat LAB1. Munti l’amplificador d’àudio (Fig. 9) i comprovi el funcionament

• Comprovació del funcionament: 1) Munti el circuit amb especial cura. Intenti connectar l’alimentació directament als BJT de potència i situï els condensadors molt a prop per tal d’eliminar el soroll tèrmic. Per començar, no connecti encara el potenciòmetre (P) i enlloc de l’altaveu utilitzi una resistència (RL) de 10Ω/5W 2) Configuri la sortida del generador (50Ω) a una forma sinusoïdal de 1V d’amplitud i una freqüència f = 500Hz i connecti’l directament a l’entrada no inversora de l’operacional (punt vIN) 3) Connecti el canal CH1 a l’entrada del circuit vIN, mitjançant la sonda BNC-BNC i el connector T, i el canal CH2* a la sortida vOUT. 4) Configuri el multímetre per mesurar la tensió en mode AC (VAC) i connecti’l al circuit per mesurar vD. 5) Anoti el guany de tensió del circuit k’ i comprovi que es correspon amb el guany teòric (k’=3) segons l’expressió (10). 6) Representi amb

Page 113: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

113

l’oscil·loscopi els senyals vIN(t), vOUT(t) i vC(t) a la retícula. Canvií el canal CH2* a la sortida de l’operacional i anoti les dades que s’indiquen a la taula 2. Justifiqui els valors, així com la tensió diferencial vD indicada al multímetre.

• 7) Pugi el valor d’entrada a 5V de pic i repeteixi l’anterior apartat representant les noves formes d’ona vIN, vOUT i anoti el nou valor de vD. Comenti els resultats.

• 8) Apagui els instruments i connecti l’altaveu. Quan l’hagi connectat, torni a engegar els instruments i configuri el generador a 1V de pic. Comprovi que s’escolta un to greu. 9) Provi diversos valors d’amplitud VIP i freqüència d’entrada f per notar les diferències.

• 10) Torni a apagar els instruments i, ara, connecti el connector (JACK) per poder escoltar el senyal d’àudio del PC, juntament amb el potenciòmetre Pi. 11) Vagi a YouTube i busqui un vídeo amb àudio. 12) Connecti la font i reprodueixi el vídeo. Comprovi que s’escolta l’àudio per l’altaveu del circuit.

4. Multivibrador astable (o generador d’ona quadrada) amb operacional (Opcional)

Tal i com s’ha comentat en la secció 1, quan l’operacional treballa en saturació (positiva i/o negativa) la sortida només pot tenir dos valors: VOH o VOL. Les aplicacions que utilitzen aquest principi de funcionament, sovint es diuen que són de commutació (o també digitals). Alguns exemples són els circuits comparadors de tensió, controladors ON/OFF, generadors de senyal o convertidors analògic-digital i digital-analògic, entre d’altres; molt utilitzats industrialment.

Una altra operació molt important dins de l’àmbit de les aplicacions d’àudio consisteix en la sintentització (o generació) de senyals de tensió periòdics. Els més populars són els generadors de forma d’ona sinusoïdal, els quals, s’utilitzen en la generació de qualsevol tipus de so, doncs la sinusoide constitueix la component base de la majoria dels senyals periòdics6. Però hi ha un altre tipus de generador on les especificacions de forma d’ona no són tan estrictes i presenta característiques de commutació (o de pols). Dintre d’aquest grup, estan els generadors de forma d’ona quadrada i, per aquest motiu, se’ls anomena oscil·lador lliure (o, en anglès, relaxation oscillators).

El principi bàsic d’aquest sistema és bastant similar al del multivibrador, vist en PRT4, amb la diferència de que la sortida ara canvia d’un nivell lògic a l’altre sense que l’usuari actuï amb comandes externes (com les de tipus SET/RESET). De fet, els multivibradors es poden classificar de tres maneres diferents (Fig. 10):

a) Astable (o oscil·lador lliure): la sortida canvia d’estat lògic (“0” a “1” i viceversa) sense necessitat de comandes externes. És a dir els seus dos estats lògics són inestables doncs van canviant de manera alternada al llarg del temps.

6 Es pot demostrar, mitjançant el càlcul de Sèries de Fourier que tot senyal periòdic (sigui quina sigui la seva forma) és, en realitat, una composició de diferents senyals sinusoïdals (i per tant també periòdics) de diferent amplitud, freqüència i fase.

Page 114: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

114

(a) (b) (c)

Figura 10. Principi de funcionament dels tres tipus de generador de forma d’ona quadrada (o multivibrador): a) Astable; b) Mono-estable i c) Bi-estable.

b) Mono-estable: Un dels estats lògics (“0” o “1”) és el que sempre hi ha a la sortida quan el sistema està en repòs. L’altre només apareix un cert temps quan s’aplica una comanda externa (o de Trigger), però passat aquest temps la sortida torna al seu valor inicial de manera automàtica.

c) Bi-estable: Tots dos estats a la sortida es poden fixar i són estables de manera

permanent. És a dir, per passar d’un estat a l’altre cal una comanda de Trigger extern (aquest és el cas del latch SET/RESET de la pràctica PRT4)

Els multivibradors es consideren circuits de regeneració específicament dissenyats per temporitzar processos i, com a tals, acostumen a utilitzar-se en sistemes digitals de lògica seqüencial. El multivibrador astable és usat com a senyal de rellotge mentre que el monoestable s’utilitza com a temporitzador. En canvi, l’aplicació més típica dels multivibradors biestables són las bàscules (latch i/o flip-flops) com és el cas de les bàscules D, JK o SET/RESET.

• Multivibrador astable amb amplificador operacional La Figura 11a mostra l’esquema elèctric amb operacional d’un multivibrador astable, on C i R formen una realimentació negativa i les resistències de la realimentació positiva, R1 i R2, formen un circuit que es coneix popularment com trigger Schmitt..

Aquest és un circuit on l’amplificador operacional treballa en commutació (saturació positiva i negativa). És a dir, si l’alimentació és v(7) = -v(4) = VCC = 15V, la sortida només pot tenir dos valors: VSAT = +13V i –VSAT = -13V ja que la realimentació positiva predomina sobre la negativa7. Per tant, al terminal positiu vP hi hauran dos límits de tensió simètrics ±VT = ±VSATR1/( R1+ R2), mentre que al terminal negatiu vN la tensió vindrà donada per l’operacional i la xarxa RC. 7 En aquest circuit, un pot pensar que es podria aplicar el concepte d’operacional ideal amb realimentació negativa doncs R i C generen un camí que va de vO a vN, i de vN a terra. No obstant, en casos en que també hi ha realimentació per la part positiva, i sense entrades externes (com és el cas del multivibrador astable) , la realimentació positiva dominarà sobre la negativa i provocarà que l’operacional treballi en les zones de commutació, com a comparador de tensió.

Page 115: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

115

(a) (b)

Figura 11. Multivibrador astable amb operacional; a) Esquema elèctric. b) Formes d’ona a la sortida vOUT i al terminal inversor vN (traça vermella).

En l’instant inicial, (t = 0), vOUT variarà cap a +VSAT o bé –VSAT. Assumeixi que varia fins a +VSAT, de manera que vP = +VT. Això provocarà que el condensador C es carregui mitjançant la resistència R cap a +VSAT, donant lloc a una forma exponencial creixent a vN amb constant τ = RC.

Tant bon punt com vN arribi a VT, cosa que succeirà quan t = t1, la sortida commutarà a –VSAT ja que en aquest instant vP < vN. Això no només provocarà que vP = -VT sinó que també canviarà la polaritat de corrent del condensador. Per tant, quan t > t1 la tensió en el condensador decau cap a –VSAT fins que arriba a l’instant de temps t = t2 on tindrem que vN(t2) = -VT. En aquest punt, la sortida de l’operacional tornarà a commutar cap a +VSAT i el cicle tornarà a repetir-se.

És evident que, una vegada posat en marxa, el circuit té la capacitat per començar i mantenir l’oscil·lació: amb vO canviant des de +VSAT a -VSAT; i vN evolucionant des de +VT a -VT i viceversa; de manera que el senyal esdevé periòdic (Fig. 11b). Per tant, és interessant conèixer quina és la freqüència d’oscil·lació f0 que es pot trobar a partir del període del senyal T = 1/f0.

Gràcies a la simetria en la sortida del circuit, la sortida vO presenta un cicle de treball ,D =TH/T, del 50% (=0.5), amb la qual cosa només cal trobar el rang ∆t = t2 – t1 = T/2. Així, doncs, aplicant l’expressió que defineix la càrrega/descàrrega de C8 en aquest tram s’obté que,

( ) ( ) ( ) ( ) ( )2 2 1

t

C N C C Cv t v t v v t v e t∆

− = = ∞ + − ∞ (11)

8 Consulteu la pràctica PRT2 on es va estudiar el comportament del circuit RC a una resposta esgraó, per entendre com s’obté l’expressió (11) en el tram ∆t = t2 – t1

Page 116: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

116

on vC(∞) = -VSAT. Amb ∆t = T/2, vN(t1) = +VT, vN(t2) = -VT; i τ = RC s’obté que,

ln2

SAT T

SAT T

V VT RCV V +

= − , (12)

de manera que substituint VT = VSATR1/( R1+ R2) i aïllant f0 = 1/T obtenim,

01

2

1 1

2 ln 1 2f

T RRCR

= = +

, (16)

Com es pot comprovar, f0 només depèn de components externs. La sortida no està afectada per VSAT, el qual és intrínsec al funcionament de l’OPAMP: qualsevol variació de VSAT causarà una variació en la mateixa proporció en VT i, per tant, la freqüència d’oscil·lació no es veurà afectada. No obstant, la limitació de slew-rate limita la utilització d’aquest circuit al rang especificat per (15). Activitat PRELAB2 (Opcional). A la plantilla del Bread-Board, indiqui la distribució de components i connexions del multivibrador astable de la Figura 12 que tindrà que implementar i comprovar el funcionament.

Activitat LAB2 (Opcional). Comprovi el funcionament del multivibrador astable (Fig. 12).

• 1) Munti el circuit i representi a l’oscil·loscopi la tensió del condensador vC (mitjançant el canal CH1) i la sortida vOUT (mitjançant el canal CH2). 2) Determini els llindars: ±VSAT, ±VT; i anoti la freqüència d’oscil·lació f0. 3) Comprovi la freqüència d’oscil·lació amb el valor calculat mitjançant (16).

Activitat PRELAB3 (Opcional). Com dissenyaria i connectaria els dos circuits vistos en aquesta pràctica (Fig. 9 i 12, respectivament) per tal de sintetitzar i escoltar un to greu de 500Hz per l’altaveu? Representi el circuit al requadre i indiqui el valor dels components.

Activitat LAB3 (Opcional). Munti el circuit dissenyat a l’activitat PRELAB3 i comprovi el funcionament.

• 1) Munti el circuit. 2) Mesuri amb l’oscil·loscopi les formes d’ona de dues variables en el circuit que cregui representatives del seu funcionament.

• Comenti el funcionament del sistema sintetitzador de so.

Page 117: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

117

Figura 12. Multivibrador astable. Diagrama de connexió pel muntatge de laboratori.

Page 118: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

118

Annex 1 – Configuracions bàsiques de l’operacional (Realimentació negativa)

Els circuits amb operacional de la taula 1 estan considerades bàsiques. Sovint, aquestes s’utilitzen com a subetapes de sistemes electrònics encara molt més complexos, on tots els operacionals utilitzen realimentació negativa. A la taula, només l’esquema elèctric i la funció que realitza és indicada. L’anàlisi necessari per obtenir la funció de sortida es deixa al lector com a exercici.

Nom Esquema elèctric Funció de transferència

Seguidor de tensió

1O

I

vv

=

O Iv v=

Amplificador no inversor

2

1

1O

I

v Rv R

= +

Amplificador inversor

2

1

O

IN

v Rv R

= −

Amplificador sumador inversor

1

Ni

O Fi i

vv RR=

= − ∑

Page 119: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

119

Amplificador diferencial

2 42

1 3 4

21

1

1OR Rv vR R R

R vR

= + − +

* Si R1 = R2 = R3 = R4

2 1Ov v v= −

Convertidor I/V (Xarxa T)

O Iv kRi= −

on 2 2

1

1 R RkR R

= + +

Font de corrent Howland

4 1 2 3

1 2 3

1

O

I

R R R RiR R R

vR

−= +

+

* Si R4/R3 = R2/R1

1

IO

viR

=

Derivador

( ) ( )IO

dv tv t RC

dt= −

( )( )

O

I

v sRCs

v s= −

Integrador

( ) ( )

( )0

0

1 t

O I

c

v t v t dtRC

v t

= − +

+

( )( )

( )01O c

I

v s v tv s RCs s

= − +

* Habitualment t0 = 0

Page 120: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

120

Amplificador Instrumentació (2 Operacionals)

( )2 1O dv A v v= −

2 2

1 1

1 2dR RAR R

= + +

Amplificador Instrumentació (3 Operacionals)

( )2 1O dv A v v= −

3 2

1

1 2dG

R RAR R

= +

Convertidor d’Impedància

Negativa (NIC)

1

2EQ

RR RR

= −

* Si R1 = R2

EQR R= −

Taula 1. Diverses configuracions bàsiques amb un o varis operacionals, i la seva funció de transferència

Page 121: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

121

Annex 2 – Full de resultats del TREBALL PREVI

NOTA: És OBLIGATORI realitzar el treball previ ABANS DE LA SESSIÓ DE PRÀCTIQUES

Escola Politècnica Superior d’Enginyeria de Vilanova i la Geltrú

EEL

Sistemes Electrònics (SIEK)

Pràctica 5: Electrònica analògica: L’amplificador operacional (OPAMP)

TREBALL PREVI

Estudiants: Data:

PRELAB 0: Dibuixi el contorn del amplificador operacional LM741

Paràmetre LM741 Observacions: Comenti les condicions en que el fabricant aporta les dades (proves, condicions funcionament)

k

∆vO

VCC

SR

Page 122: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

122

Taula A2.1 Anoti la informació relativa al BC547C que ha trobat al full de característiques

PRELAB1. Amplificador de so amb operacional Representi l’encapsulat del potenciómetre, el transistor BD243 i BD244. Identifiqui els terminals de tots tres components

Indiqui la connexió de sortida dels terminals de la font, indicant (si escau) la configuració dels botons per tal d’obtenir una alimentació simétrica de ±15V

Representi les connexions de l’amplificador de so

Page 123: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

123

PRELAB2(Opcional): Multivibrador astable amb operacional

PRELAB3 (Opcional): Sistema electrònic sintetitzador de so Representi l’esquema del circuit complet al requadre

Page 124: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

124

Annex 3 –Treball de Laboratori

NOTA: És OBLIGATORI portar imprès aquest formulari el dia de la sessió de la pràctica

Escola Politècnica Superior d’Enginyeria de Vilanova i la Geltrú

EEL

Sistemes Electrònics (SIEK)

Pràctica 5: Electrònica analògica: L’amplificador operacional (OPAMP)

FULL DE RESULTATS

Estudiants: Data:

LAB1. Amplificador de so amb operacional. Formes d’ona de vIN(t) i vOUT(t) (utilitzant 1V de pic a vIN)

CH1 VOLT/:

Zero POS:

CH2 VOLT/:

Zero POS:

TIME/:

Acob:

Page 125: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

125

Formes d’ona de vIN(t) i vC(t) (utilitzant 1V de pic a vIN)

CH1 VOLT/:

Zero POS:

CH2 VOLT/:

Zero POS:

TIME/:

Acob:

Resum de resultats:

Variable elèctrica Teòric Laboratori Variable

elèctrica Teòric Laboratori

VC vD (AC)

VOUT ∆VC (Zona morta)

k’ PL

Taula 2. Resultats numèrics de l’amplificador d’àudio amb operacional

Formes d’ona de vIN(t) i vOUT(t) (utilitzant 2V de pic a vIN)

CH1 VOLT/:

Zero POS:

CH2 VOLT/:

Zero POS:

TIME/:

Acob:

VOH:__________ (Teòric); VOL:__________ (lab); vD (AC):__________

Page 126: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

126

Comentaris sobre el funcionament de l’amplificador de so: ________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________ ___________________________________________________________ LAB 2 (Opcional) Multivibrador astable amb operacional Formes d’ona de vC(t) i vOUT(t)

CH1 VOLT/:

Zero POS:

CH2 VOLT/:

Zero POS:

TIME/:

Acob:

VSAT:__________ -VSAT:___________ VT :___________

fO (teòric):___________ fO (lab):__________

Page 127: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

127

LAB 3 (Opcional): Sintetitzador de so Representi les formes d’ona del circuit que cregui importants

CH1 VOLT/:

Zero POS:

CH2 VOLT/:

Zero POS:

TIME/:

Acob:

Comentaris sobre el funcionament:

__________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

Page 128: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

128

Pràctica 6.- Electrònica Digital: el mon dels ordinadors Objectiu: Conèixer a nivell molt bàsic els conceptes de l’electrònica digital i aprendre, amb l’ús de la plataforma Arduino, a implementar i programar sistemes lògics combinacionals.

1 Introducció

En general, l’electrònica aplicada persegueix la implementació de circuits que, connectats a la xarxa elèctrica, els electrons adquireixin un “comportament” establert pel dissenyador. En base a aquest context, una de les aplicacions principals està vinvulada al que avuí dia es conneix com la Societat de la Informació, la qual, persegueix l’ adquissició, emmagatzematge/transport i tractament d’informació del nostre entorn. Així, doncs, els senyals elèctrics de sensors contitueixen la principal font d’informació, i amb la implementació de circuits realitzem operacions per “interpretar” aquesta informació.

En base al tipus de senyal a tratar, l’electrònica pot ser analògica o digital (Fig.1). En aquest sentit, quan el circuit treballa sobre un senyal elèctric de forma “anàloga” al mon real es diu que és d’àmbit de l’electrònica analògica. Per exemple, l’amplificador d’àudio vist a la pràctica anterior constitueix una aplicació d’aquest àmbit doncs l’objectiu és el d’obtenir una versió elèctrica d’un senyal acústic, com pot ser la veu d’una persona (Fig. 1a). En canvi, quan el tractament es realitza sobre una versió del senyal prèviament transformada a un domini “discret” (o “codificat”) de valors, sovint es diu que es tracta d’una aplicació d’ambit de l’electrònica digital (Fig. 1b).

Així, doncs, un sistema digital realitza operacions sobre números, o “codis” d’entrada , i genera altres codis a la sortida. A la Taula 1, teniu una llista de les codificacions numèriques més utilitzades en sistemes digital. En aquest sentit, la base especifica el nombre de valors que pot adquirir cada línea (o bit1) d’entrada/sortida. Per exemple, en la codificació binaria (base = 2) cada bit nomès pot prendre dos valors: el “0” lògic, que correspon al nivell baix (ó LOW en anglès); i el “1” lògic (HIGH), mentre que amb codificació octal el nombre de valors diferents arriba a 8 (de 0 a 7).

Per últim, la implementació física de sistemes electrònics digitals reals passa per assignar a cada nivell lògic (o estat) un valor elèctric de tensió. En el cas de la lògica TTL2 al “1” lògic li correspon el valor de 5V (o inclùs 3.3V o 1.8V, segons tencnologia de fabricació) mentres que el “0” lògic” són 0V.

2. Què és L’Electrònica Digital?

Al igual que amb l’àlgebra decimal, per definir les operacions que realitzan els sistemes binaris utilitzem funcions matemàtiques del tipus,

Y = f(I1, I2, ..., IN) (1) 1 La paraula “bit” ve designada pel terme anglès BInary digiT 2 El terme TTL ve designat pel terme anglès Transistor-Transistor Logic

Page 129: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

129

(a)

(b)

Figura 1.- Diferència entre processament analògic i processament digital. a) En el processament analògic es treballa amb un senyal elèctric que presenta forma “anàloga” al senyal físic (que en aquest cas és acústic); b) El processament digital, en canvi, opera sobre codis numèrics.

Bàsiques Altres Decimal Binari Octal Hexadecimal Gray BCD Base = 10 Base = 2 Base = 8 Base = 16 Canvi d’un bit ----

010 00002 008 0H (o be 016) 0000G 0000|0000 110 00012 018 1H 0001G 0000|0001 210 00102 028 2H 0011G 0000|0010 310 00112 038 3H 0010G 0000|0011 410 01002 048 4H 0110G 0000|0100 510 01012 058 5H 0111G 0000|0101 610 01102 068 6H 0101G 0000|0110 710 01112 078 7H 0100G 0000|0111 810 10002 108 8H 1100G 0000|1000 910 10012 118 9H 1101G 0000|1001 1010 10102 128 AH 1111G 0001|0000 1110 10112 138 BH 1110G 0001|0001 1210 11002 148 CH 1010G 0001|0010 1310 11012 158 DH 1011G 0001|0011 1410 11102 168 EH 1001G 0001|0100 1510 11112 178 FH 1000G 0001|0101

Taula 1. Representacions numèriques importants en format digital

Page 130: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

130

on I1, ..., IN representen les entrades del sistema digital (per un total de N entrades); Y és la sortida; i f(·) denota l’operació matemàtica, respectivament. Donat que les variables binàries només poden tenir dos valors (“0” o “1”, respectivament) no totes les propietats de l’àlgebra decimal són vàlides en aquest domini. D’aquí que f(·) rebi el nom de funció booleana, per diferenciar-la de les funcions de l’àlgebra convencional, i que al conjunt de regles de l’àlgebra binària se li denomini Àlgebra de Boole.

En l’àlgebra de Boole nomès existeixen tres operacions bàsiques. Dos d’elles corresponen a la funció OR (“+”) i AND (“·”), i apareixen a la Taula 2. Aquestes operacions no tenen res a veure amb la “suma” ni el “producte” de l’àgebra decimal, malgrat el símbol. En el primer cas basta amb que una de les dues entrades estigui a nivell “ALT” (A ó B) per tal d’obtenir un “1” lògic a la sortida, mentre que en el segon cas és necessari que totes dues entrades estiguin a nivell alt (A i B) per obtenir el mateix resultat, d’aquí el nom de cadasquna d’aquestes funcions. La funció NOT no es una operació de càlcul en si mateixa ja que alterna a la sortida el valor que hi ha present a l’entrada. La funció NOR, en canvi, és el resultat d’invertir el resultat d’una OR i, per tant, es considera subsidiària d’aquestes. El mateix es pot afirmar de la porta NAND. Donat que la taula 2 representa tots els casos d’entrada possible, habitualment a aquesta taula se li denomina taula de veritat.

Per implementar aquestes funcions lògiques es pot usar transistors bipolars (BJT) treballant en commutació. Aquest és el cas, per exemple, de la funció NOR de dues entrades del circuit de la Fig. 2. En aquest cas, basta que qualsevol de les dues entrades estigui a nivell “ALT” (5V) per tal de saturar el BJT corresponent (QA o bé QB, segons el cas). Quan això succeeixi, la sortida vO nomès podrar ser de 0.2V (≈VCESAT) que equival al nivell “BAIX”. Per altra banda, quan VA = VB = 0V, tots dos BJT estaran en TALL, i la sortida valdrà vO = 5V (=VCC). Aquest circuits actualment s’integren en pastilles de silici, i d’aquí que moltes vegades s’utilitzin els símbols de les portes lògiques (Fig. 3) per simplificar el comportament de la seva funció lògica des del punt de vista elèctric, enlloc dels BJT.

En resum, mitjançant un sistema electrònic digital es persegueix transformar una “paraula”, o codi binari, en un altre codi binari a la sortida. El nombre de línies defineix la longitud d’aquestes paraules. Cada línia representa un bit del bus, tant a l’entrada com a la sortida (1 Byte correspon a 8 bits). Mitjançant la interconnexió de portes lògiques definim l’operació que realitzarà el sistema digital, la qual, està formalment representada per la funció lògica booleana (1), i la “taula de veritat“ defineix el comportament del sistema en tots els casos d’entrada possible.

Per últim, destacar que els sistemes digitals també es poden agrupar segons el seu comportament temporal. Així, als sistemes combinacionals (Fig. 4a) també se’ls denominen circuits sense memòria. És a dir, la sortida s’actualitza en el mateix moment que ho fan les entrades. En canvi, en els sistemes seqüencials (Fig. 4b) la sortida no solament depén de les entrades en el moment actual sinó que també depèn de valors que aquesta ha agafat en instants anteriors i, per tant, sovint es diu que són circuits amb memòria.

Page 131: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

131

Casos A B Inversor OR AND NOR NAND OR-E

1 0 0 1 0 0 1 1 0

2 0 1 1 1 0 0 1 1

3 1 0 0 1 0 0 1 1

4 1 1 0 1 1 0 0 0

Funció Y A= Y A B= + Y AB= Y A B= + Y AB= Y A B= ⊕

Taula 2. Taula de la veritat de les funcions lògiques combinacionals bàsiques.

Figura 2. Exemple d’esquema elèctric per una porta NOR de dos entrades implementada amb BJT.

(a) (b) (c)

(d) (e) (f) Figura 3. Sis de les funcions digitals combinacionals més bàsiques de dues entrades: a) NOT b) OR c) AND; d) NOR; e) NAND; f) OR-Exclusiva.

(a) (b)

Figura 4. Sistema combinacional (a) vs. Sistema seqüencial (b). Els sistemes digitals seqüencials inclouen circuits lògics addicionals per emmagatzemar dades digitals en diferents intervals de temps.

Page 132: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

132

Activitat PRELAB0. Cerqui informació al voltant del circuit integrat SN7432 que utilitzarà en els mutatges d’aquesta pràctica.

• Mitjançant el cercador, trobi informació al voltant del circuit integrat referència SN7432.

• Representi el diagrama intern de connexió i indiqui la següent informació: - Tipus de porta lògica. - Nombre de portes que hi ha dintre. - Nombre d’entrades de cada porta

• Especifiqui com cal connectar l’alimentació per que funcioni correctament.

3. Simplificació de funcions lògiques combinacionals

Hem vist en la secció anterior que un sistema combinacional desenvolupa una funció lògica específica, i per altra banda, que la “taula de veritat” permet especificar tots els casos possibles de funcionament d’aquesta funció. Per tant, una cosa hauria de portar a l’altre.

Per il·lustrar aquest concepte, consideri l’aplicació de la Fig. 5 on es preten implementar un sistema combinacional que mostri pel display 7-segments els valor decimals de 0 a 9 segons la codificació binaria de les entrades (I3 – I0); mostrant el caràcter “F” en el cas que el codi d’entrada superi el rang admès pel display (10 a 15). En aquest cas, l’implementació del sistema digital a implementar mitjançant portes lògiques ha de tenir el comportament descrit a la Taula 3. Per tant, el bus d’entrada serà de 4 bits de longitud, i la sortida 7 (una per cada led del display).

Si es considera la sortida del led g del display, la seva funció lògica pot escriure’s com,

3 2 1 0 3 2 1 0 3 2 1 0 3 2 1 0 3 2 1 0 3 2 1 0 3 2 1 0 3 2 1 0g I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I I= + + + + + + + +

3 2 1 0 3 2 1 0 3 2 1 0 3 2 1 0 3 2 1 0 3 2 1 0I I I I I I I I I I I I I I I I I I I I I I I I+ + + + + + . (2)

El lector pot intuir que els 14 termes en (2) són, en realitat, cadascuna de les files de la Taula 3 que forcen g = 1, expressats com a “suma de productes”. Així en aquest format, per a que la combinació (I3 = 0; I2 = 0; I1 = 1; I3 = 0) generi un “1” a la sortida, cal invertir I3, I2 i I0; i el mateix succeeix amb la resta de termes. Anàlogament, també es pot escriure la mateixa expressió utilitzant les combinacions que generen g = 0 i expressant-la com a “producte de sumes”. En aquest cas, cal negar les entrades a “1” lògic per tal d’assegurar que cada terme genera el “0” quan li correspon. De manera, que en aquest format l’expressió queda com

( )( )3 2 1 0 3 2 1 0g I I I I I I I I= + + + + + + . (3)

Per tant, (2) i (3) són expressions equivalents i corresponen al MINTERM (1ª forma canónica) i al MAXTERM (2ª forma canónica), respectivament, de la funció booleana g.

Page 133: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

133

Figura 5. Diagrama general del sistema digital que mostra pel display el codi Hexadecimal de l’entrada segons les variables: I0 – I3. Aquí, I3 és el bit més significatiu (MSB – Most Significant Bit; del anglès), i per tant de major pes, mentre que I0 és el bit menys significatiu (LSB – Least Seignificant Bit)

Nº I3I2I1I0 a b c d E f g Display

0 0000 1 1 1 1 1 1 0

1 0001 0 1 1 0 0 0 0

2 0010 1 1 0 1 1 0 1

3 0011 1 1 1 1 0 0 1

4 0100 0 1 1 0 0 1 1

5 0101 1 0 1 1 0 1 1

6 0110 1 0 1 1 1 1 1 7 0111 1 1 1 0 0 0 1 8 1000 1 1 1 1 1 1 1 9 1001 1 1 1 1 0 1 1 10 1010 1 0 0 0 1 1 1 11 1011 1 0 0 0 1 1 1 12 1100 1 0 0 0 1 1 1 13 1101 1 0 0 0 1 1 1 14 1110 1 0 0 0 1 1 1 15 1111 1 0 0 0 1 1 1

Taula 3. Taula de la veritat que implementa el sistema digital de la Fig. 5.

El fet de que la funció estigui en un o altre format, de vegades, és important doncs permet reduir el nombre de recursos (portes lògiques en aquest cas) per implementar la mateixa

Page 134: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

134

operació. Així, és evident que la funció g s’implementa més optimament en format MAXTERM que no pas amb MINTERM: nomès cal una NOT, dues OR de qüatre entrades i una AND de dues entrades (Fig. 6a).

No obstant, una simple inspecció de la columna g revela que amb activar una de les entrades de més pes (I1, I2 ó I3) és més que suficient per encendre g, i que I0 és irrellevant per aquesta sortida. De manera que (3) també es pot simplifcar com

3 2 1g I I I= + + (4)

Aquest és un dels aspectes vitals del disseny digital, ja que el fet de poder simplificar una expressió lògica, sigui MINTERM o MAXTERM, obtinguda mitjançant la taula de la veritat, és vital per estalviar recursos de càlcul. Fixeu-vos que amb dues OR de dos entrades (Fig. 6b) és suficient per obtenir el mateix resultat que (2) i (3).

Donada la rellevància que cobra aquest fet, la pregunta que sorgeix ara és quines eines es poden utilitzar per simplificar circuits digitals?. En general, existeixen dues tècniques principals:

A. Mètode analític: S’utilitza quan l’expressió booleana “és coneguda” i es pretén simplificar-la mitjançant l’aplicació dels teoremes i les propietats de l’Àlgebra de Boole. Equival a usar l’àlgebra decimal convencional però per als nombres binaris. Per motius de brevetat no es considerarà en aquesta activitat.

B. Mètode de Karnaugh: Aquest mètode s’aplica quan la funció lògica no és coneguda i es vol obtenir la funció simplificada directament de la “taula de veritat”. És la més usada a la pràctica, tot i que en l’actualitat s’utilitza més per estalviar recursos de programació que no pas per reduir l’esquema elèctric ja que el desenvolupament de sistemes digitals AD-HOC3 actualment s’implementa amb sistemes programables. Tot seguit s’explica el procediment per la sortida g de la Taula 3:

• Mètode de Karnaugh (per MINTERMS) 1. Construcció del Mapa de Karnaugh: En base al nombre de variables del sistema

digital, es dibuixa una retícula (Fig. 7a) amb tantes caselles com combinacions lògiques hi ha a la “taula de veritat”. Aquí, és molt important distribuir les variables d’entrada (a la part esquerra, i la part superior de la retícula) de manera que cada cel·la adjacent, tant horitzontal com vertical, varïi UN ÚNIC BIT4. Construïda la retícula s’escriu un “1” en la casella que correspongui segons la taula de la veritat. Per al cas de la sortida g del exemple, la distribució quedaria com la indicada a la Fig. 7b.

3 AD-HOC és un terme que s’utilitza per designar el propòsit específic d’una cosa. En l’enginyeria electrònica s’utilitza quan un sistema electrònic desenvolupa una funció específica per una aplicació concreta. 4 Aquesta és la raó per la qual, en sistemes digitals de 5 o més variables, sigui preferible representar la taula de la veritat en format de “Codi de Gray” que no pas binari, ja que això facilita la tasca d’omplir les caselles

Page 135: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

135

(a) (b)

Figura 6. Sistema digital amb portes lògiques que implementa la sortida g del exemple amb “display 7-segments”. a) Funció MAXTERMS obtinguda de la taula de la veritat. b) Funció simplificada.

(a) (b)

(c) (d)

Figura 7. Exemple de construcció de mapes de Karnaug. a) Graella pel cas de 4 variables d’entrada; b) Col·locació dels 1’s de la funció booleana g de la Taula 3; c) Una simplificació MINTERM de g “no optimitzada”. d) L’agrupament més simple possible de la sortida g.

Page 136: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

136

2. Agrupament: El següent pas consisteix en agrupar totes les caselles marcades com a “1” lògic. Els grups poden tenir tamanys diferents però, en qualsevol cas, el nombre d’elements de cada grup SEMPRE ha de ser una potència de 2. És a dir: 1, 2, 4, 8, etc (Fig. 7c). No obstant, i per tal de simplificar la funnció lògica al “màxim” cal utilitzar una estratègia en la que el nombre de grups sigui MÍNIM, i al mateix temps que els grups siguin GRANS. En qualsevol cas, cal tenir present que... • ... la repetició d’elements és possible. És a dir, una mateixa cel·la pot

pertànyer a més d’un grup a la vegada; • ... els agrupaments pels extrems (horitzontal i vertical) són vàlids, ja que

la combinació lògica de les variables queles representen també varien nomès un únic bit.

3. Funció lògica: Per acabar, escribim la funció lògica tenint present que... • ...el format de l’expressió booleana resultant ha de ser MINTERM; • ... que CADA GRUP correspon a UN TERME (prodcute) de l’expressió

booleana; • ... i que en cada terme, es descarta aquella variable que canvia d’estat lògic,

agafant com a referència la part vertical i horitzontal de la retícula.

D’aquesta manera, el lector pot observar que l’expressió de l’agrupament en Fig. 7c no està tan simplificada, mentre que l’agrupament en la Fig. 7d correspon a l’expressió (4). La més simple possible!!

Aquesta metodologia també és pot aplicar amb representació MAXTERM. En aquest cas, els agrupaments a la graella es realitzen sobre les combinacions de sortida g = 0, posant com a negades les variables d’entrada amb valor 1 (enlloc de les de valor 0). Per altra banda, cada grup correspon en realitat a un terme suma (i no producte) de la funció MAXTERM final. Així, si s’agrupen les caselles 0 i 1 de la Fig.7d i s’escriu la funció MAXTERM resultant corresponent a l’expressió (4).

Activitat PRELAB1. Dibuixi a la plantilla la distribució de components i les connexions que haurà de realitzar per tal de muntar el circuit de la Fig. 8 al laboratori.

Activitat LAB1. Munti el circuit de la Fig. 8, corresponent a la sortida g de l’aplicació del display 7-segments (Taula 3) i comprovi que realitza la funció indicada.

• Mesuri la tensió V6 i el corrent que circula pel led, ILED, tan quan hi hagi un “0” tant quan la sortida sigui un “1” lògic com un “0” lògic.

• Descrigui, a nivell elèctric, el comportament del circuit a l’entrada i la sortida

Page 137: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

137

Figura 8. Circuit elèctric que implementa la sortida g de l’aplicació del display 7-segments.

4. Els dispositius electrònics programables

Si s’utilitza el mètode de Karnaugh per simplificar les altres sis sortides de la Taula 3, les funcions lògiques simplificades que s’obtenen per cadasquna són les següents:

3 1 2 0 2 0a I I I I I I= + + + ;

( )3 1 0 2 1 0 2 1b I I I I I I I I= + + + ;

( )3 0 2 2 1c I I I I I= + + ;

( )( )3 2 1 3 2 1 0 1 0 2 1 0d I I I I I I I I I I I I= + + + + ; (5)

( )3 2 1 1 0 2 0e I I I I I I I= + + + ;

3 1 0 2 0 2 1f I I I I I I I= + + + ;

3 2 1g I I I= + +

la qual cosa requeriria un gran nombre de portes lògiques per implementar-lo, i incrementaria considerablement la grandària del circuit.

Avui dia, i degut a l’avenç de les noves tecnologies i la millora de les tècniques d’integració de components en silici, les portes lògiques han quedat pràcticament obsoletes i les funcions combinacionals s’implementen mitjançant dispositius programables. Un exemple el trobem amb els circuits amb “microcontroladors” que, en realitat, són com petits ordinadors al que se li especifica la tasca a realitzar en l’aplicació mitjançant un programa informàtic prèviament compilat i transferit.

Page 138: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

138

• La plataforma Arduino:

Arduino (http://www.arduino.cc) es una plataforma oberta per la creació de sistemes electrònics digitals, basat en una placa microcontrolador i un entorn de desenvolupament, molt fàcil d’usar. Va ser creada al 2005 a l’institut italià d’Ivrea (actualment desaparegut), com a resultat d’un projecte final de carrera (PFC) dirigit per Massimo Banzi, un dels seus fundadors.

Les plaques Arduino poden agafar informació del entorn mitjançant els seus pins d’entrada, i poden actuar a tot allò que l’envolta a través dels pins de sortida. El hardware està format per un microcontrolador Atmega AVR, de la casa ATMEL, que treballa com un “miniordinador”, i que es programa via USB. La placa més econòmica, l’Arduino UNO –R3 (Fig. 9), costa aproximadament uns 17€+IVA i funciona a una tensió entre 7V i 12V. Disposa de 14 entrades/sortides digitals, 6 de les quals es poden convertir en entrades analògiques. Per altra banda, el software està basat en un entorn de desenvolupament lliure GNU, tipus wiring, que permet programar l’aplicació en llenguatge similar al C++ gràcies al Firmware que prèviament s’introdueix al microcontrolador.

Activitat PRELAB2. Representi a la plantilla la distribució de connexions, així com la connexió dels components del circuit de la Fig. 10 que haurà de realitzar per tal de que l’aplicació amb display 7-segments funcioni correctament.

• Tal i com indica l’esquema, assumeixi que les 4 entrades estan ubicades als pins 0 – 3 de la placa Arduino, i les 7 sortides als pins 4 – 10. Indiqui aquests pins en el dibuix.

Activitat LAB2. Transfereixi el programa amb l’aplicació del display 7-segments. Munti el circuit de la Fig. 10 i comprovi que realitza la funció indicada a la Taula 3.

• 1) Iniciï l’aplicació Arduino del PC (Inici > Programes > Arduino) i obri l’arxiu “bcd_app_v2.ino“ al IDE (Fig. 12). 2) Connecti la placa Arduino UNO al port USB de l’ordinador i deixi que la reconegui. 3) Accedeixi al menú i seleccioni la placa que està utilitzant (Herramientas > Tarjeta > “Arduino Uno”). 3) Seleccioni el port pel qual es transferirà el programa a la placa (Herramientas > Puerto > “COM3”5). 4) Compili i transfereixi el programa a la placa (botó →).

• 5) Quan hagi transferit el programa, desconnecti el cable USB i realitzi les connexions de la Fig. 10. 6) Connecti la bateria de 9V i comprovi que al display es visualitza el nombre decimal representat pels interruptors d’entrada. 7) Descrigui el codi de l’aplicació (Fig. 11) i justifiqui com es desenvolupen les funcions booleanes indicades a (5).

5 Aquest port pot ser diferent, depenent de l’assignació que realitzi Windows 7 durant el reconeixement automàtic al connectar la placa al port USB. Vagi a Panell de Control > Sistema > Administrador de dispositives > Puertos per consultar en quin port ha estat mapejada la placa.

Page 139: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

139

Figura 9.- Placa microcontrolador Arduino Uno – R3

Figura 10. Diagrama de connexió de l’aplicació BCD 7-segments d’aquesta pràctica. S’han utilitzat diferents colors per diferencia el propòsit de cada una de les línies del bus de l’aplicació: lila – Bus d’entrada (4 bits); verd – Bus de sortida (7 bits); Vermell/negre – Nivell lògic TTL (5V – 0V)

Page 140: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

140

Figura 12a. Codi del programa “bcd_app.ino” (continua a les tres pàgines següents)

// Programa "bcd_app_v2.ino" // Representa al display tots els valors decimals dels 4 bits d'entrada, excepte els valors 10 - 15 // on mostra el caràcter "F" que indica fora de rang // Entrades: I0 - I3 (Pins 0 al 3) // Sortides: a-g (Pins 4 al 10) // Definició dels pins d'entrada i sortida que s’usaran de la placa Arduino const int In0 = 3; const int In1 = 2; const int In2 = 1; const int In3 = 0; const int out_a = 4; const int out_b = 5; const int out_c = 6; const int out_d = 7; const int out_e = 8; const int out_f = 9; const int out_g = 10; // Definicions de les variables auxiliars Int I0; Int I1; Int I2; Int I3; // Aquesta rutina s'executa nomès a l'inici void setup() // inicialització dels pins d'entrada i sortida. pinMode(In0, INPUT); pinMode(In1, INPUT); pinMode(In2, INPUT); pinMode(In3, INPUT); pinMode(out_a,OUTPUT); pinMode(out_b,OUTPUT); pinMode(out_c,OUTPUT); pinMode(out_d,OUTPUT); pinMode(out_e,OUTPUT); pinMode(out_f,OUTPUT); pinMode(out_g,OUTPUT); // Aquesta rutina s'executa una vegada rera l'altre void loop() I0 = digitalRead(In0); I1 = digitalRead(In1);

Page 141: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

141

// continuació del codi de la página anterior I2 = digitalRead(In2); I3 = digitalRead(In3); if (I3==0 && I2==0 && I1 ==0 && I0==0) digitalWrite(out_a,HIGH); digitalWrite(out_b,HIGH); digitalWrite(out_c,HIGH); digitalWrite(out_d,HIGH); digitalWrite(out_e,HIGH); digitalWrite(out_f,HIGH); digitalWrite(out_g,LOW); else if (I3==0 && I2==0 && I1==0 && I0==1) digitalWrite(out_a,LOW); digitalWrite(out_b,HIGH); digitalWrite(out_c,HIGH); digitalWrite(out_d,LOW); digitalWrite(out_e,LOW); digitalWrite(out_f,LOW); digitalWrite(out_g,LOW); else if (I3==0 && I2==0 && I1==1 && I0==0) digitalWrite(out_a,HIGH); digitalWrite(out_b,HIGH); digitalWrite(out_c,LOW); digitalWrite(out_d,HIGH); digitalWrite(out_e,HIGH); digitalWrite(out_f,LOW); digitalWrite(out_g,HIGH); else if (I3==0 && I2==0 && I1==1 && I0==1) digitalWrite(out_a,HIGH); digitalWrite(out_b,HIGH); digitalWrite(out_c,HIGH); digitalWrite(out_d,HIGH); digitalWrite(out_e,LOW); digitalWrite(out_f,LOW); digitalWrite(out_g,HIGH); else if (I3==0 && I2==1 && I1==0 && I0==0) digitalWrite(out_a,LOW); digitalWrite(out_b,HIGH); digitalWrite(out_c,HIGH);

Page 142: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

142

// continuació del codi de la página anterior digitalWrite(out_d,LOW); digitalWrite(out_e,LOW); digitalWrite(out_f,HIGH); digitalWrite(out_g,HIGH); else if (I3==0 && I2==1 && I1==0 && I0==1) digitalWrite(out_a,HIGH); digitalWrite(out_b,LOW); digitalWrite(out_c,HIGH); digitalWrite(out_d,HIGH); digitalWrite(out_e,LOW); digitalWrite(out_f,HIGH); digitalWrite(out_g,HIGH); else if (I3==0 && I2==1 && I1==1 && I0==0) digitalWrite(out_a,HIGH); digitalWrite(out_b,LOW); digitalWrite(out_c,HIGH); digitalWrite(out_d,HIGH); digitalWrite(out_e,HIGH); digitalWrite(out_f,HIGH); digitalWrite(out_g,HIGH); else if (I3==0 && I2==1 && I1==1 && I0==1) digitalWrite(out_a,HIGH); digitalWrite(out_b,HIGH); digitalWrite(out_c,HIGH); digitalWrite(out_d,LOW); digitalWrite(out_e,LOW); digitalWrite(out_f,LOW); digitalWrite(out_g,HIGH); else if (I3==1 && I2==0 && I1==0 && I0==0) digitalWrite(out_a,HIGH); digitalWrite(out_b,HIGH); digitalWrite(out_c,HIGH); digitalWrite(out_d,HIGH); digitalWrite(out_e,HIGH); digitalWrite(out_f,HIGH); digitalWrite(out_g,HIGH);

Page 143: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

143

Activitat LAB3 (Opcional). Si està familiaritzat amb la programació en C++, tracti de modificar el programa “bcd_app_v2.ino” per tal d’optimitzar-lo. En aquest sentit, desenvolupi un programa que realitzi la mateixa tasca amb menys línies de codi que el de la Fig. 12

SUGGERIMENT: En lloc de generar una instrucció “if” per cada combinació de la Taula 3, trati de generar una instrucció “if” per cada sortida, activant-la o desactivant-la segons el càlcul de les funcions lògiques indicades en (5).

// continuació del codi de la página anterior else digitalWrite(out_a,HIGH); digitalWrite(out_b,LOW); digitalWrite(out_c,LOW); digitalWrite(out_d,LOW); digitalWrite(out_e,HIGH); digitalWrite(out_f,HIGH); digitalWrite(out_g,HIGH); // Fi del programa

Page 144: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

144

Annex 2 – Full de resultats del TREBALL PREVI

NOTA: És OBLIGATORI realitzar el treball previ ABANS DE LA SESSIÓ DE PRÀCTIQUES

Escola Politècnica Superior d’Enginyeria de Vilanova i la Geltrú

EEL

Sistemes Electrònics (SIEK)

Pràctica 6: El mon dels ordinadors: L’Electrònica Digital

TREBALL PREVI

Estudiants: Data:

PRELAB 0: Dibuixi el contorn del circuit integrat SN7432

Tipus de porta: ___________ Nombre de portes: ____________ Nombre d’entrades de cada porta: __________ Com s’ha de connectar la font d’alimentació? ________________________________________________________________________________________________________________________________________________________________________

Page 145: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

145

PRELAB1. Circuit combinacional de la Fig. 8 Representi les connexions del circuit combinacional que implementa la sortida g de l’amplificador de so

PRELAB2: Circuit amb microcontrolador (Arduino) de la Fig. 10

Page 146: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

146

Annex 3 –Treball de Laboratori

NOTA: És OBLIGATORI portar imprès aquest formulari el dia de la sessió de la pràctica

Escola Politècnica Superior d’Enginyeria de Vilanova i la Geltrú

EEL

Sistemes Electrònics (SIEK)

Pràctica 5: Electrònica analògica: L’amplificador operacional (OPAMP)

FULL DE RESULTATS

Estudiants: Data:

LAB1. Taula de la veritat de la sortida g (Fig. 8)

Nº I3I2I1I0 g V6 ILED

0 0000 1 0001 2 0010 3 0011 4 0100 5 0101 6 0110 7 0111 8 1000 9 1001 10 1010 11 1011 12 1100 13 1101 14 1110 15 1111

Taula A2.1 Escrigui les mesures i els resultats del circuit de la Fig. 8 Taula 2. Resultats numèrics de l’amplificador d’àudio amb operacional

Page 147: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

147

Descrigui a nivell elèctric el comportament del circuit combinacional: _____________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________ ___________________________________________________________ LAB 2 Aplicació display 7-segments amb Arduino Expliqui com el programa implementa les funcions combinacionals en el microcontrolador: _____________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________ _________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________________

Page 148: Sistemes Electrònics Dosier de Pràctiquesocw.upc.edu/sites/ocw.upc.edu/files/materials/340035/2015/1/siek_-_dosier_de... · 3 De l’esquema elèctric al Proto-board Arribat a aquest

José Antonio Soria Pérez

148

LAB 3 (Opcional): Modificació del programa Escrigui el nou programa optimitzat de l’aplicació display a 7-segments