circuito de memoria de 4 bits.docx

download circuito de memoria de 4 bits.docx

of 17

Transcript of circuito de memoria de 4 bits.docx

  • 7/24/2019 circuito de memoria de 4 bits.docx

    1/17

    REPUBLICA BOLIVARIANA DE VENEZUELA

    INSTITUTO UNIVERSITARIO POLITECNICO SANTIAGOMARIO

    ESCUEKA DE INGENIERIA ELECTRONICA (44)

    EXTENSION-MATURIN

    CIRCUITO DE MEMORIADE 4 BITS

    PROFESOR:INTEGRANTES:

    *Ing. Jess Rondn *Zulimar

    Villanueva CI: 26.101.406

    *Marie !lonso

    CI: 2".#$#.62#

    *%usel&s 'aavedra CI:

    *Jess Ro(ue CI:

    Ma!"#a: 'is). igi)ales IIT$"%&: +o,)urno-V

  • 7/24/2019 circuito de memoria de 4 bits.docx

    2/17

    Maturn, noviembre De 2015

    INDICEP.G

    Introduccin.

    -Registro de desplazamiento.

    -Forma de introducir la informacin

    -Registro de desplazamiento con flip-flop tipo D.

    -Aplicaciones..

    -Procedimiento experimental

    -Funcionamiento

    -Materiales e!uipos...

    -Recomendaciones.

    -"onclusin..

    -#i$liograf%a..

    INTRODUCCION

  • 7/24/2019 circuito de memoria de 4 bits.docx

    3/17

    &os registros de memoria son dispositi'os secuenciales mu

    com(nmente conectadas en cascada en la cual puede introducirse la

    informacin en serie o paralela. )ste circuito digital acepta datos $inarios de

    una fuente de entrada luego los desplaza* un $it a la 'ez* a tra'+s de una

    cadena de flip-flops.

    )stos registros son mu com(nmente utilizados en las calculadoras

    comunes en el cual al ser o$ser'adas con detenimiento se puede entender el

    funcionamiento $,sico de un registro de desplazamiento.

    )n o$eti'o principal de esta in'estigacin es el de comprender como

    tra$aan estos registros de desplazamiento para !ue en el futuro notengamos incon'enientes de explicar su funcionamiento como tal.

    )sta in'estigacin est, apoada tam$i+n con un proecto de registro

    de $its con la explicacin detallada de cada circuito integrado usada en +l/

    a !ue es importante sa$er la enumeracin de cada pin de los circuitos el

    'alor m,ximo con el !ue pueden tra$aar.

    R!'#"& ! !*+a,a#!%&

  • 7/24/2019 circuito de memoria de 4 bits.docx

    4/17

    0e puede decir !ue un registro de desplazamiento es un grupo de celdas

    de almacenamiento $inario adecuadas para mantener informacin $inaria.

    1n grupo de flip-flop constitue un registro* a !ue cada flip-flop es una celda

    $inaria capaz de almacenar un $it de informacin. 1n registro de n-$it tiene

    un grupo de n flip-flop es capaz de almacenar cual!uier informacin $inaria

    !ue contengan $its. Adem,s de los flip-flop* un registro puede tener

    compuertas lgicas com$inacionales !ue realicen ciertas tareas de

    procesamiento de datos. )n su definicin m,s amplia* un registro consta de

    un grupo de flip-flop compuertas !ue efect(an una transicin. &os flip-flop

    mantienen la informacin $inaria las compuertas controlan cuando como

    se transfiere informacin nue'a al registro.

    F&"a ! #%"&$.#" +a #%/&"a.#0%

    0e pueden introducir la informacin de dos maneras diferentes

    dependiendo del modelo !ue se tenga2

    Serie: &os $its se transfieren uno a continuacin del otro por una

    misma l%nea.

    Paralelo:0e intercam$ian todos los $its al mismo tiempo* utilizando un

    n(mero de l%neas de transferencia igual al n(mero de $its.

    R!'#"& ! !*+a,a#!%& .&% 1#*-1&* #*& D

    )ste tipo de registro tiene la opcin de elegir la direccin en !ue se

    transmiten los datos. )stos registros tienen una se3al de control !ue permite

    seleccionar el sentido de desplazamiento de los datos.

    1n eemplo es uno construido con cuatro flip-flop tipo D una entrada

    com(n de pulsos de relo. &a entrada de pulsos de relo* "P* 4a$ilita todos los

  • 7/24/2019 circuito de memoria de 4 bits.docx

    5/17

    flip-flop de modo !ue la informacin presente disponi$le en las cuatro

    entradas puede transferirse al registro de -$it.

    A*+#.a.#&%!

    &os registros de desplazamiento tienen 'arias aplicaciones en sistemas

    digitales entre las cuales se encuentran las m,s destacadas2

    0er'ir de almacenamiento temporal de un conunto de $its so$re los

    !ue se est, realizando una la$or de procesamiento. Desplazamiento de datos a lo largo de los flip-flops.

    5ransmisin de datos.

    "on'ersin de protocolo serie en paralelo 'ice'ersa.

    Puertos de salida de los microcomputadores.

    0ecuenciadores 6luces anuncios pu$licitarios7.

    Multiplicaciones di'isiones por 8* * 9* :; $its.

    o relo* este montae se 4izo primeramente utilizando el

    diagrama de la figura ?@: referente a una simulacin realizada en Proteusoc4o.

  • 7/24/2019 circuito de memoria de 4 bits.docx

    6/17

    Figura N1Simulacin del astable 555

    A continuacin se muestra la simulacin en proteus funcionando tal

    como se muestra en la Figura ?@8

    Figura N2Simulacin del astable 555 en funcionamiento

    Donde podemos o$ser'ar !ue nuestra salida del &MBBB es donde se

    encuentra aloado nuestra se3al de pulso* ca$e destacar !ue se usaron unos

    capacitores electrol%ticos de C*:uF ;9uF* resistencias dos de *BE una

    de :E para proteger a nuestro diodo &)D.

  • 7/24/2019 circuito de memoria de 4 bits.docx

    7/17

    Adem,s de eso nuestro 'oltae es de B el cual se lle' a tierra igual

    !ue el capacitor conectado en el pin 8 del circuito BBB.

    8 Para proseguir con nuestro montae al tener listo nuestra se3al de pulso

    se conect un pulsador a la salida del &)D. )ste pulsador 'a conectado al pin H de nuestro "ircuito integrado

    &s:* es de $astante importancia mencionar !ue este circuito

    contiene ; flip flop tipo DJ pero solo se 4izo uso de . Adem,s nuestro circuito integrado &s: contiene internamente en la

    entrada del Relo una in'ersora lo !ue ocasiona !ue tra$ae 'alga la

    redundancia in'ersamente. 0e muestra en la Figura ?@ el datas4eet del

    &0: tal cual se 'e internamente

    Figura N3Diagrama interno del CI 74S174

    Al tener $astante claro esto* se procedi armar el circuito de

    almacenamiento de $its utilizando el diagrama de la Figura ?@

  • 7/24/2019 circuito de memoria de 4 bits.docx

    8/17

    Figura N4Diagrama del circuito de almacenamiento de 4 bits

    B Al tener nuestro diagrama definido se empez armar* donde las salidas

    KA* K# K" KD. 0on &)D de color roo* cada uno lle'a una resistencia

    de C.; )n ese circuito el DA5A es un pulsador. )s esencial aclarar !ue este es un circuito de memoria en paralelo.9 0e arm todo siguiendo con el diagrama interno del In'ersor &s.H Donde "" es el 'oltae G?D la tierra.:C 0e us solamente los pines del &0 68***B*;**9*H*:C*:: :;7.:: )n donde el pin 'a unido al pin H.:8 )l pin 8 unido al pin .: )l pin B unido al pin ;.: )l pin unido pin ::.:B )l pin 9 como a se mencion a tierra el pin :; a "" o positi'o.:; Fue necesario al ensam$lar el circuito 4acer una simulacin del &0:

    como de$er%a ir conectado en la placa de simulaciones en la Figura ?@B

  • 7/24/2019 circuito de memoria de 4 bits.docx

    9/17

    Figura N5Simulacin del 74S174

    )n la Figura ?@; se puede apreciar una simulacin del &0:

    funcionando perfectamente en la cual se pueden o$ser'an los diodos

    &)D encendidos

    Figura N!Simulacin del 74S174

    Para este tipo de proecto siempre es importante realizar una o 'arias

    simulaciones para lograr entender con m,s claridad los pasos correctos

    !ue se de$en 4acer a la 4ora de realizar el montae de dic4o circuito.

  • 7/24/2019 circuito de memoria de 4 bits.docx

    10/17

    F$%.#&%a#!%&

    )ste registro de desplazamiento funciona con $its en el cual la

    entrada del primer flipp-flop la salida del (ltimo son accesi$les

    internamente. 0e emplean como l%neas de retardo digitales en tareas de

    sincronizacin.

    )l registro est, conformado con la com$inacin en serie de flip-flop D.

    )l registro de desplazamiento o corrimiento con cada su$ida de relo

    ad!uiere un 'alor del $it entrada o dataJ desplazando los $it almacenados*

    6en este caso $its7. &a salida muestra el 'alor de los cuatro $iesta$les a la

    'ez.

    &os n(meros $inarios almacenados en +l son desplazados de un flip-

    flop al siguiente con cada pulso de relo aplicado en este caso los pulsos de

    relo ser,n generados por el BBB. A continuacin en la Figura@ se muestra el

    diagrama del registro de desplazamiento con salida en paralelo2

  • 7/24/2019 circuito de memoria de 4 bits.docx

    11/17

    Diagrama N7

    Diagrama de registro de des"la#amiento

    "on cada flanco ascendente del relo 6circuito e!ui'alente BBB7 la

    informacin se 'a desplazando 4acia la derec4a una posicin. )n la Figura

    ?@9 !ue se muestra se puede detallar las formas de onda de las salidas de

    cada flip-flop* donde se o$ser'a el desplazamiento de los datos de iz!uierda

    a derec4a.

  • 7/24/2019 circuito de memoria de 4 bits.docx

    12/17

    Figura N$

    as formas de onda de las salidas de cada fli"%flo"

    Ma!"#a+! 3 !$#*&

    -: suic4e

    -: "ircuito e!ui'alente BBB

    -: "ircuito integrado &0:

    -B diodos &)D 6: Amarillo de Bmm* roos de mm7

    -B resistencias de :>

    -8 resistencias de *B>

    -: condensador de C*:Lf de BC'

    -: condensador de ;9Lf de BC'

    -Proto$oard

    -"a$les 15P

    -Pinzas

    -Mult%metro

  • 7/24/2019 circuito de memoria de 4 bits.docx

    13/17

    Circuito integrado 74S174

    )ste circuito integrado tiene ; flip-flop de tipo D con entradas de clear

    relo comunes disparados por flanco de su$ida con una capacidad de

    'oltae de alimentacin entre .B' a B.8B'. Posee adem,s un encapsulado

    de :; pines.

    1na 'isin interna del integrado mostrando lo !ue a se explic

    anteriormente seria el !ue se muestra a continuacin2

    Datas&eet del 74S174

    Circuito e'ui(alente 555

    )l temporizador I" BBB es un circuito integrado 6c4ip7 !ue se utiliza en

    una 'ariedad de aplicaciones se aplica en la generacin de pulsos de

    oscilaciones. )l BBB puede ser utilizado para proporcionar retardos de

    tiempo* como un oscilador* como un circuito integrado flip-flop. )n este

  • 7/24/2019 circuito de memoria de 4 bits.docx

    14/17

    proecto +l se encargara de en'iar un pulso cada cierto tiempo al circuito

    integrado &0: para !ue en'iara una se3al. 1na 'isin interna del BBB

    ser%a la !ue se muestra a continuacin2

    Datas&eet del 555

  • 7/24/2019 circuito de memoria de 4 bits.docx

    15/17

    R!.&!%a.#&%!

    0e recomienda re'isar los datas4eet para sa$er el m,ximo 'alor !ue

    se le de$en introducir a las compuertas lgicas para e'itar !ue se

    da3en.

    )s recomenda$le pelar $ien los ca$les 15P !ue estos to!uen el

    fondo de la placa para !ue no exista un falso contacto dificulte el

    funcionamiento del circuito.

    )s recomenda$le 'erificar si 4a continuidad en cada uno de los

    puntos del circuito.

    Por est+tica para lograr un meor circuito el+ctrico es recomenda$le

    usar ca$les utp de un solo color para conexiones entre las

    compuertas* un color para la tierra un color para la alimentacin.

    0e de$er%a utilizar para este tipo de circuito el Flip-Flop no un

    circuito integrado !ue los contenga.

    0e tiene !ue usar resistencias antes de los led para protegerlos aun

    cuando no este esto plasmado en el diagrama.

    De$emos de cuidarnos de los altos 'oltaes a !ue un so$re exceso

    de 'oltae podr%a da3arnos 4asta el proto$oard.

    CONCLUSIONES

  • 7/24/2019 circuito de memoria de 4 bits.docx

    16/17

    Al final de la in'estigacin pudimos concluir !ue un registro de

    desplazamiento o corrimiento es un circuito mu (til a !ue es capaz de

    retener datos !ue se u$ican en almacenamientos temporales con el fin de

    realizar manipulaciones espec%ficas en las cuales los datos modificados se

    pueden en'iar a otra localizacin similar.

    5endremos en cuenta !ue para realizar un registro de corrimiento* se

    de$e tomar en cuenta los contadores* flip-flop* circuitos* muc4as cosas m,s

    !ue ser,n necesarias para o$tener el registro de corrimiento.

    Podemos agregar adem,s !ue la utilidad de almacenar datos a fin de

    poderlos utilizar luego en cual!uier proceso !ue el flip flop tipo D pueda

    realizar. Mediante el proecto pudimos compro$ar su 'ersatilidad uso en

    cuanto a la cantidad de $its !ue es capaz de almacenar. 0e puede decir !ue

    es necesario sa$er cmo usarlo sino el resultado ser, !ue solo usara un solo

    cuadrante de este.

  • 7/24/2019 circuito de memoria de 4 bits.docx

    17/17

    BIBLIOGRAFIA

    &tt"s)**es+,i-iboo-s+org*,i-i*Dise

    .C3./1o0de0circuitos0digitales00tecnolog

    .C3.Da0de0com"utadores*egistros

    &tt")**,,,+(irtual+unal+edu+co*cursos*ingenieria*2477*leccione

    s*!41+&tm

    &tt")**,,,+ecured+cu*inde+"&"*egistro0de0des"la#amiento

    &tt")**"ersonales+unican+es*man#anom*6lanantiguo*DigitalI*8

    84+"df

    &tt"s)**es+,i-iboo-s+org*,i-i*Dise

    .C3./1o0de0circuitos0digitales00tecnolog

    .C3.Da0de0com"utadores*egistros

    https://es.wikibooks.org/wiki/Dise%C3%B1o_de_circuitos_digitales_y_tecnolog%C3%ADa_de_computadores/Registroshttps://es.wikibooks.org/wiki/Dise%C3%B1o_de_circuitos_digitales_y_tecnolog%C3%ADa_de_computadores/Registroshttps://es.wikibooks.org/wiki/Dise%C3%B1o_de_circuitos_digitales_y_tecnolog%C3%ADa_de_computadores/Registroshttp://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/060401.htmhttp://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/060401.htmhttp://www.ecured.cu/index.php/Registro_de_desplazamientohttp://personales.unican.es/manzanom/Planantiguo/EDigitalI/REGG4.pdfhttp://personales.unican.es/manzanom/Planantiguo/EDigitalI/REGG4.pdfhttps://es.wikibooks.org/wiki/Dise%C3%B1o_de_circuitos_digitales_y_tecnolog%C3%ADa_de_computadores/Registroshttps://es.wikibooks.org/wiki/Dise%C3%B1o_de_circuitos_digitales_y_tecnolog%C3%ADa_de_computadores/Registroshttps://es.wikibooks.org/wiki/Dise%C3%B1o_de_circuitos_digitales_y_tecnolog%C3%ADa_de_computadores/Registroshttp://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/060401.htmhttp://www.virtual.unal.edu.co/cursos/ingenieria/2000477/lecciones/060401.htmhttp://www.ecured.cu/index.php/Registro_de_desplazamientohttp://personales.unican.es/manzanom/Planantiguo/EDigitalI/REGG4.pdfhttp://personales.unican.es/manzanom/Planantiguo/EDigitalI/REGG4.pdfhttps://es.wikibooks.org/wiki/Dise%C3%B1o_de_circuitos_digitales_y_tecnolog%C3%ADa_de_computadores/Registroshttps://es.wikibooks.org/wiki/Dise%C3%B1o_de_circuitos_digitales_y_tecnolog%C3%ADa_de_computadores/Registroshttps://es.wikibooks.org/wiki/Dise%C3%B1o_de_circuitos_digitales_y_tecnolog%C3%ADa_de_computadores/Registroshttps://es.wikibooks.org/wiki/Dise%C3%B1o_de_circuitos_digitales_y_tecnolog%C3%ADa_de_computadores/Registroshttps://es.wikibooks.org/wiki/Dise%C3%B1o_de_circuitos_digitales_y_tecnolog%C3%ADa_de_computadores/Registroshttps://es.wikibooks.org/wiki/Dise%C3%B1o_de_circuitos_digitales_y_tecnolog%C3%ADa_de_computadores/Registros