LAB_N°2_ Nexys2.pdf

22
UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA LABORATORIO N° 1 DISEÑO DIGITAL ING. JHON JAIRO RAMÍREZ 1 Dto de Electricidad y Electrónica UFPS [email protected] CARACTERÍSTICAS DE LA TARJETA NEXYS2 DE DIGILENT 1. OBJETIVOS 1.1 OBJETIVO GENERAL Identificar los principales bloques y características electricas de la tarjeta Nexys2 de Digilent para el desarrollo de aplicaciones digitales para la signatura diseño digital. 1.2 ESPECÍFICOS Entender la estructura básica de la Tarjeta Nexys2 de Digilent. Reconocer los bloques de entrada y salida de la tarjeta Nexys2. Conocer los requerimientos eléctricos y estandares de cada uno de los bloques constitutivos de la tarjeta Nexys2. Identificar las posibles aplicaciones en el campo de la electrónica general. 2. EQUIPO NECESARIO Computador con últimas especificaciones Herramienta de simulación ISE 10.1 de Xilinx. 3. COMPONENTES NECESARIOS 1 Tarjeta Nexys2 de Digilent. Conector USB para programación de la tarjeta Nexys2 de Digilent. 4. TRABAJO PERSONAL PREVIO Realice una consulta sobre las principales empresas que participan en el mercado de las FPGAs y sus productos y familias lógicas. Para cualquiera de las actividades anteriores recuerde siempre referenciar la fuente bibliográfica. 5. CARACTERÍSTICAS DE LA TARJETA NEXYS2 DE DIGILEN La tarjeta Nexys2 contiene una FPGA del fabricante Xilinx de la familia Spartan 3E del tipo XC3S500 con encapsulado FGG 320, 500K (500.000) compuertas lógicas y 320 pines disponibles para el diseñador.

Transcript of LAB_N°2_ Nexys2.pdf

Page 1: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 1 Dto de Electricidad y Electrónica UFPS [email protected]

CARACTERÍSTICAS DE LA TARJETA NEXYS2 DE DIGILENT

1. OBJETIVOS 1.1 OBJETIVO GENERAL ♦ Identificar los principales bloques y características electricas de la tarjeta

Nexys2 de Digilent para el desarrollo de aplicaciones digitales para la signatura diseño digital.

1.2 ESPECÍFICOS ♦ Entender la estructura básica de la Tarjeta Nexys2 de Digilent. ♦ Reconocer los bloques de entrada y salida de la tarjeta Nexys2. ♦ Conocer los requerimientos eléctricos y estandares de cada uno de los

bloques constitutivos de la tarjeta Nexys2. ♦ Identificar las posibles aplicaciones en el campo de la electrónica general. 2. EQUIPO NECESARIO

♦ Computador con últimas especificaciones ♦ Herramienta de simulación ISE 10.1 de Xilinx.

3. COMPONENTES NECESARIOS

♦ 1 Tarjeta Nexys2 de Digilent. ♦ Conector USB para programación de la tarjeta Nexys2 de Digilent.

4. TRABAJO PERSONAL PREVIO ♦ Realice una consulta sobre las principales empresas que participan en el

mercado de las FPGAs y sus productos y familias lógicas. ♦ Para cualquiera de las actividades anteriores recuerde siempre referenciar la

fuente bibliográfica.

5. CARACTERÍSTICAS DE LA TARJETA NEXYS2 DE DIGILEN

La tarjeta Nexys2 contiene una FPGA del fabricante Xilinx de la familia Spartan 3E

del tipo XC3S500 con encapsulado FGG 320, 500K (500.000) compuertas

lógicas y 320 pines disponibles para el diseñador.

Page 2: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 2 Dto de Electricidad y Electrónica UFPS [email protected]

Fig. 1 TARJETA NEXYS2 DE DIGILENT

Soket Reloj Externo GCK2

Conector de Expanción FX2 de 100 Pines

Conector de Expanción Pmod JA1 JB1 JC1 JD1

Pulsadores Switches

Conector de Alimentación

Puerto PS/2

Puerto VGA

Memoria RAM

Modos de Programación

Pulsador de Reset

LEDs

Puerto RS-232

Swich de encendido

Selector de Alimentación

Programador JTAG

Puerto USB

Memoria Flash PROM

Alimentación de bateria

Diplays 7 segmentos

Led DONE

FPGA

Reloj CK 50 Mhz

Fig. 2 ENCAPSULADO DE LA FPGA

Las principales características de cada uno de los elementos que constituyen la

tarjeta Nexys2 de Digilent son:

Page 3: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 3 Dto de Electricidad y Electrónica UFPS [email protected]

DIAGRAMA DE BLOQUES DE TARJETA NEXYS2 DIGILENT

♦ FUENTES DE ALIMENTACIÓN

La entrada de alimentación de la Nexys2 puede ser controlada desde el cable

USB, ó de un conector de pared de 5VDC-15VDC, ó de un paquete de baterias.

Un puente “jumper” selecciona la fuente de poder deseada. Los circuitos estan

siempre alimentados por cable USB. Si éste no esta conectado ó el Swich de

encendido esta OFF, los circuitos se desconectan de la fuente de poder.

La entrada del bus de alimentación proporciona un voltaje regulado de 3.3V para

todos los requerimientos de corriente de la tarjeta. Algunos dispositivos requieren

2.5V, 1.8V, 1.2V además de la suministrada por la fuente principal de 3.3V.

La corriente total de la tarjeta depende de la configuración de la FPGA, la

frecuencia del reloj, y las conexiones externas. La Tabla 1 resume los

requerimientos de suministro de energia de la tarjeta.

La tarjeta Nexys2 puede también recibir o suminnistrar energía a una tarjeta de

perifericos conectada al conector Pmod, o al conector de expanción grande de

100-pines. Los puentes al lado del conector Pmod (JP1, JP2, JP3, JP5), y JP4

para el conector de 100-pines, pueden ser usados para enrutar la entrada del bus

de alimentación ó, la alimentación regulada, a los pines de alimentación del Pmod;

Page 4: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 4 Dto de Electricidad y Electrónica UFPS [email protected]

En cambio el puente del conector de expanción grande (JP4), solo conecta ó

desconecta la entrada del bus de alimentación.

Fuentes de alimentación de la Nexys2

Fuente Dispositivo Amp (max/ tipica

3.3V Principal LC6 LTC1765 3A/100mA

2.5V FPGA LC7 LTC3417 1.4A /50mA

1.2V FPGA LC7 LTC3417 1.4A/200mA

1.8V SRAM LC8 LTC1844 150mA/90mA

3.3V USB LC5 LTC1844 150mA/60mA

Al conectar el cable USB la alimentación llega directamente al circuito USB, sin

embargo, para alimentar el resto de la tarjeta es necesario deslizar el interruptor

electrónico Q1 a la posición de encendido ON ( en la Nexys2), pero antes de

realizar esta acción se debe garantizar que se van a utilizar mas de 100mA en el

cable USB. Al usar el alimentador USB se debe tener cuidado que tanto la placa

Nexys2 como cualquier tarjeta de periféricos no extraiga más de 500mA a 5 voltios

VCC, ó el PC puede resultar dañado. Por tanto, si los requerimientos de corriente

exceden el límite de 500mA es necesario utilizar una fuente externa. La tarjeta

Nexys2 consume típicamente cerca de 300mA de corriente del cable USB,

dejando alrededor de 200mA para tarjetas periféricos.

♦ Configuración de la FPGA y la plataforma FLASH ROM

Para que la FPGA de la tarjeta Nexys2 pueda realizar cualquier función debe ser

programada primero por el usuario. La programación se realiza cuando un archivo

“.bit” se transfiere a las celdas internas de memoria y configura las funciones

lógicas y las interconexiones del circuito diseñado. El sofware CAD libre

ISE/WebPack de xilinx se puede utilizar para crear el archivo .bit de VHDL,

Verilog, y un archivo a base de diagramas esquematicos.

Page 5: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 5 Dto de Electricidad y Electrónica UFPS [email protected]

Cypress EZ-USB

Terminales Del JTAG

Modo de configuración Tipo puente

JTAG

Esclavo Serial

Vdd Espartan 3E

FPGA

JTAG

PROG

DONE

LED Done

Puerto Esclavo serial

Puerto JTAG Botón de Reset

De la FPGA (BTNR)

Conector USB miniB

Plataforma Flash XCF02

La FPGA puede ser programada de dos maneras: directamente de un PC a travez

del puerto USB de la tarjeta, ó a travez la memoria Flas ROM (La Flash ROM es

una plataforma incorporada en la tarjeta y es también programada por el usuario

via puerto USB). Un puente en la tarjeta Nexys2 determina cual fuente (PC o

ROM) utilizará la FPGA para cargar su configuración.Si el puente del Modo de

configuración está dispuesto como “Esclavo serial” la FPGA se programará

automaticamente de la plataforma Flash ROM al encenderse. Si el puente de

Modo de configuración esta dispuesto como “JTAG” la FPGA se programará

utilizando el cable USB con el PC. El sofware Adep de Digilent esta disponible

libremente para configurar la FPGA y la plataforma Flash ROM. Adep utiliza el

cable USB para transferir un archivo seleccionado .bit del PC a la FPGA, ó

configurar la plataforma Flash ROM. Una vez programada la FPGA se mantendrá

así hasta que se reinicie por un evento de apagado y encendido, o se presione el

botón de reset (BTNR) de la FPGA. La plataforma Flash ROM mantendrá el

Page 6: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 6 Dto de Electricidad y Electrónica UFPS [email protected]

archivo .bit de manera independiente de los ciclos de apagado ó encendido hasta

que se reprograme.

♦ Relojes

La tarjeta Nexys2 incluye un oscilador de 50Mhz y un socalo para un segundo

oscilador. Las señales de reloj de los osciladores se conectan a los terminales

(pines) de la estrada de reloj global en la FPGA, para que ellos puedan manejar el

reloj en los bloques sintetizadores1 disponibles en la FPGA. El reloj sintetizador

(llamado DLLs, delay locked loops) permite doblar ó cuadruplicar la frecuencia del

reloj de entrada, dividir la frecuencia de entrada por un multiplo entero, definir la

fase precisa, y además permite corregir la relación de retardo entre varias señales

de reloj.

♦ Puertos de Entrada/ Salida (Input / Output) para el Usuario

La tarjeta incluye varios elementos de Entrada y Salida como puertos de Datos,

1 Un sintetizador de frecuencia es un bloque que a partir de una frecuencia de referencia permite

Page 7: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 7 Dto de Electricidad y Electrónica UFPS [email protected]

que permiten implementar algunos diseños sin la necesidad de adicionar más

componentes así:

Entradas

Pulsadores (Pushbuttons)

La tarjeta tiene cuatro pulsadores disponibles para los circuitos de entrada.

Las entradas de los pulsadores estan normalmente en un nivel de voltaje

Bajo (0V), y son llevadas a un nivel de voltaje Alto (3.3V) únicamente

cuando los pulsadores están presionados. Las entradas de los pulsadores

usan un resistor en serie para la protección contra corto circuitos, (Un corto

circuito puede ocurrir si un pin de la FPGA asignado a un pulsador ó

interruptor deslizante es desprevenidamente definido como una salida).

Interruptores Deslizables (Slide Switches)

La Nexys2 presenta ocho interruptores deslizables que generan entradas

constantes Altas o Bajas dependiendo de su posición. Las entradas de los

interruptores deslizables, como la de los pulsadores usan un resistor en

serie para la protección contra corto circuitos.

ACTIVACIÓN DE LOS PULSADORES

PULSADOR NOMENCLATURA PIN FPGA

1 BTN0 B18

2 BTN1 D18

3 BTN2 E18

4 BTN3 H13

Page 8: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 8 Dto de Electricidad y Electrónica UFPS [email protected]

Salidas

LEDs

Para los circuitos de salida se dispone de Ocho LEDs. Los Anodos de los

LED se accionan desde la FPGA a traves de resistencias de 390 ohm, por

lo que un “1” Lógico a la salida se iluminara con una corriente de 3 a 4mA.

Un noveno LED indica el estado de encendido de la tarjeta. Y un décimo

LED indica el estado de la programación de la FPGA.

Displays Siete Segmentos

ACTIVACION DE LOS SWICHES

SWICH NOMENCLATURA PIN FPGA

1 SW0 G18

2 SW1 H18

3 SW2 K18

4 SW3 K17

5 SW4 L14

6 SW5 L13

7 SW6 N17

8 SW7 R17

ACTIVACION DE LOS LEDs

LED NOMENCLATURA PIN FPGA

1 LD0 J14

2 LD1 J15

3 LD2 K15

4 LD3 K14

5 LD4 E17

6 LD5 P15

7 LD6 F4

8 LD7 R4

Page 9: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 9 Dto de Electricidad y Electrónica UFPS [email protected]

La Tarjeta contiene un display siete segmentos tipo LED de cuatro dígitos

de ánodo común. Cada LED puede ser iluminado individualmente. De los

128 patrones posibles, los diez más utilizados son los que corresponden a

los dígitos decimales.

Los ánodos de los siete LEDs que forman cada dígito (display) se atan a un

circuito de “ánodo común”, y los cátodos de los LEDs permanecen

separados. Las señales de ánodo común están disponibles en cuatro

señales de entrada, AN0, AN1, AN2, AN3 que “habilitan cada uno de los

dígitos de los cuatro displays”.

Page 10: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 10 Dto de Electricidad y Electrónica UFPS [email protected]

Los cátodos de los segmentos similares en todos los cuatro displays estan

conectados a siete nodos del circuitos etiquetados, CA, CB, CC, CD, CE,

CF, CG (los cuatro catodos “D” de los cuatro dígitos se agrupan en un nodo

de circuito llamado “CD”).

Lo que quiere decir que las señales de cátodo son comunes a todos los

dígitos, sin embargo, solo pueden iluminar los segmentos del dígito cuya

correspondiente señal de ánodo se activa (AN0,…AN3). Esto exige que

para visualizar los cuatro digitos al tiempo se construya un esquema de

conexión multiplexada.

HABILITACION DISPLAY 7-SEG

DISPLAY (DIGITO) NOMENCLATURA PIN FPGA

0 AN0 F17

1 AN1 H17

2 AN2 C18

3 AN3 F15

DISPLAY SIETE SEGMENTOS

LED NOMENCLATURA PIN FPGA

a CA L18

b CB F18

c CC D17

d CD D16

e CE G14

f CF J17

g CG H14

punto DP C17

Page 11: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 11 Dto de Electricidad y Electrónica UFPS [email protected]

♦ Puerto USB

La Nexys2 incluye un puerto de alta velocidad USB2 basado en un controlador

Cypress CY7C68013A . El puerto USB puede ser usado para:

Programar los dispositivos de Xilinx en la tarjeta.

Realizar transferencia de datos por el usuario a velocidades de hasta

38Mbytes/Seg.

Proveer alimentación a la tarjeta si el puente de selección de alimentación

esta configurado a “USB”.

La programación se hace con el paquete de software libre Adept de digilent. La

transferencia de datos de usuario también pueden realizarse usando Adept.

PATRONES DE CATODO PARA DÍGITOS DECIMALES

Dígito

iluminado

SEÑALES DE CATODO

a b c d e f g

0 0 0 0 0 0 0 1

1 1 0 0 1 1 1 1

2 0 0 1 0 0 1 0

3 0 0 0 0 1 1 0

4 1 0 0 1 1 0 0

5 0 1 0 0 1 0 0

6 0 1 0 0 0 0 0

7 0 0 0 1 1 1 1

8 0 0 0 0 0 0 0

9 0 0 0 1 1 0 0

Page 12: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 12 Dto de Electricidad y Electrónica UFPS [email protected]

Las especificaciones del puerto USB requiere que el consumo de los dispositivos

conectados a la tarjeta mediante los terminales externos no sea mayor a 100 mA,

si el consumo de corriente excede este valor, la corriente total de la tarjeta no

debe sobrepasar los 500 mA. Una vez conectado al puerto USB, si la tarjeta

Nexys2 requiere 500 mA, un transistor de conmutación activa la conexión del

cable de voltaje USB a la entrada principal del bus de alimentación.

Page 13: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 13 Dto de Electricidad y Electrónica UFPS [email protected]

La tarjeta Nexys2 típicamente consume aproximadamente 300mA del cable USB

y debe tenerse cuidado ( especialmente cuando se usen tarjetas periféricas) para

asegurarse que no exijan más de 500mA.

♦ Puerto PS/2 (Personal System/2)

El conector mini-DIN2 de 6-pines puede albergar un ratón o un teclado. La

mayoría de dispositivos PS/2 pueden operar con una alimentación de 3.3V, sin

embargo dispositivos más antiguos pueden requerir una alimentación de 5VDC.

Un puente de tres-pines inmediatamente adyacente al conector PS/2 selecciona

3.3V , ó la entrada principal del bus de alimentación de voltaje (VU) es aplicada al

conector PS/2.

Para enviar 5V al conector PS/2 , coloque el puente de alimentación en

Vswt (entrada principal del bus de alimentación), y asegurese que la placa

este alimentada por el cable USB, ó un adaptador de enchufe de pared de

5VDC.

Para enviar 3.3V al conector, coloque el puente a 3.3V.

2 Estandar del Deutsches Institut für Normung, organismo alemán de estandarización.

Page 14: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 14 Dto de Electricidad y Electrónica UFPS [email protected]

♦ Puerto VGA (Video Graphics Array)3

La tarjeta Nexys2 utiliza 10 señales de la FPGA para crear un puerto VGA con

colores de 8-bits y dos señales estandar de sincronia ( HS Sincronia Horizontal, y

VS Sincronia Vertical). Las señales de color usan circuitos divisor –resistivos que

trabajan en conjunto con la resistencia de terminación de 75 ohm de la pantalla

VGA para crear en las señales VGA rojo y verde ocho niveles, y cuatro niveles en

la señal azul, ya que el ojo humano es menos sensible a los niveles de azul.

Con la Configuración de este circuito mostrado en la figura se pueden producir

señales de video a color que ocurran con incrementos iguales entre 0V

3 Adaptador Gráfico de Video. No confundirse con Video Games Awards

Page 15: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 15 Dto de Electricidad y Electrónica UFPS [email protected]

(completamente apagado) y 0.7V (completamente activado). Además se pueden

mostrar 256 colores completamente diferentes, uno por cada patrón único de 8-

bits. Para lograr tal objetivo es necesario crear en la FPGA un circuito controlador

de video para tratar las señales de sincronia y las señales de color con el tiempo

correcto, con el fin de producir el funcionamiento del sistema de visualización.

♦ Puerto Serial

Contiene un puerto serial de dos hilos basado en un convertidor de voltaje

ST3232 de ST Microelectronnics. El ST3232 convierte los niveles de las señales

utilizadas por la comunicación RS-232 (-12V a -3V para un ‘1’ lógico y de 12V a

3V para un ‘0’ lógico) a las señales de 3.3V usadas por la FPGA. Como solo dos

señales estan conectadas RXD Y TXD, se puede usar unicamente software de

protocolo de enlace XON / XOFF como controlador de puerto serial basado en

FPGA. El puerto serial de la tarjeta nexys2 es útil para muchas aplicaciones, en

particular para la depuración y funcionamiento del procesador Microblaze

embebido de Xilinx.

Page 16: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 16 Dto de Electricidad y Electrónica UFPS [email protected]

♦ Memoria

La tarjeta Nexys2 tiene dispositivos de memoria externa RAM y ROM. La memoria

externa RAM es un dispositivo DRAM pseudo-estatica de 128Mbit de Micron

M45W8MW16 Celullar RAM organizada como 8Mbytesx16bits. Puede operar

como una SRAM asíncrona típica con ciclos de tiempos de 70ns de lectura y

escritura, o como una memoria sincrona con un bus de 80MHz. Cuando se opera

como una memoria SRAM, la Cellular RAM actualiza automáticamente sus

matrices DRAM internas, lo que permite simplificar el diseño del controlador de

memoria (similar a cualquier SRAM) en la FPGA. Cuando se opera en modo

sincrono, son posibles las transferencias continuas de hasta 80MHz.

La memoria externa ROM es un dispositivo StrataFlash de 128 Mbits Intel

TE28F128J3D75-110 organizado como 8Mbytesx16bits. Internamente contiene

128 bloques que se pueden borrar de forma individual, y soporta ciclos de tiempo

de lectura de 110ns, con tiempos de 25ns de lectura en modo-pagina dentro de los

bloques. Tiene un Buffer de escritura de 32 bytes interno que puede ser escrito

con ciclos de tiempo de 70ns, y el búfer de 32 bytes puede ser transferido a la

matriz Flash en 218us (tÍpico).

Ambos dispositivos comparten un bus de datos de 16-bits y un bus de direcciones

de 24 bits. La memoria Cellular RAM es byte direccionable, lo que quiere decir que

los datos pueden ser accedidos en segmentos de 8 bits a la vez, con

independencia de la anchura de los buses de datos y direcciones, mediante la

utilización de las señales Upper-Byte MT-UB (byte superior) y Lower-Byte MT-LB

(byte inferior), en cambio la StrataFlash es configurada para la operación

unicamente de los 16 byte (esto no es byte direccionable).

Las señales Output enable (OE), habilitación de salida, y Write Enable (WE),

havilitación de escritura, son señales que comparten abos dispositivos, pero cada

uno tiene su propia señal de habilitación, Chip Enable (CE). Además, la memoria

Cellular RAM tiene otras señales como:

MT-CLK : Señal de Reloj

MT-WAIT: Señal de espera

Page 17: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 17 Dto de Electricidad y Electrónica UFPS [email protected]

MT-ADV: Señal de dirección válida

MT-CRE: Señal de control de registro

Estas señales estan disponibles para que la FPGA las use en transferencias

síncronas. Igualmente la memoria ROM StrataFlash tiene las señales Reset (RP#)

y Status (STS), estado, enviadas a la FPGA.

Asignación de pines del Bus de datos y direcciones de memoria

Señales de Direcciones Señales de Datos

ADDR0 NA ADDR8 H6 ADDR16 M5 DATA0 L1 DATA8 L3

ADDR1 J1 ADDR9 F1 ADDR17 E2 DATA1 L4 DATA9 L5

ADDR2 J2 ADDR10 G3 ADDR18 C2 DATA2 L6 DATA10 M3

ADDR3 H4 ADDR11 G6 ADDR19 C1 DATA3 M4 DATA11 M6

ADDR4 H1 ADDR12 G5 ADDR20 D2 DATA4 N5 DATA12 L2

ADDR5 H2 ADDR13 G4 ADDR21 K3 DATA5 P1 DATA13 N4

ADDR6 J5 ADDR14 F2 ADDR22 D1 DATA6 P2 DATA14 R3

ADDR7 H3 ADDR15 E1 ADDR23 K6 DATA7 R2 DATA15 T1

♦ Conectores de perifericos

La tarjeta proporciona cuatro conectores Pmod de dos hileras de 6 pines JA, JB,

JC, JD. Cada conector presenta dos pines de GND y dos pines Vdd por cada 8

Page 18: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 18 Dto de Electricidad y Electrónica UFPS [email protected]

señales de datos. Todas las señales de datos incluyen resistencias de protección

a corto circuito y diodos de protección a descargas electrostáticas ESD.

Asignación De Pines Del Conector Pmod De La Nexys2

Pmod JA Pmod JB Pmod JC Pmod JD

JA1 L15 JA7 K13 JB1 M13 JB7 P17 JC1 G15 JC7 H15 JD1 J13 JD7/LD3 K14

JA2 K12 JA8 R16 JB2 R18 JB8 R16 JC2 J16 JC8 F14 JD2 M18 JD8/LD2 K15

JA3 L17 JA9 T18 JB3 R15 JB9 T18 JC3 G13 JC9 G16 JD3 N18 JD97/LD1 J15

JA4 M15 JA10 U18 JB4 T17 JB10 U18 JC4 H16 JC10 J12 JD4 P18 JD10/LD0 J14

JA5 GND JA11 GND JB5 GND JB11 GND JC5 GND JC11 GND JD5 GND JD11 GND

JA6 Vdd JA12 Vdd JB6 Vdd JB12 Vdd JC6 Vdd JC12 Vdd JD6 Vdd JD12 Vdd

Page 19: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 19 Dto de Electricidad y Electrónica UFPS [email protected]

Un bloque de puentes junto a cada conector Pmod puede conectar la señal Vdd

del Pmod a la fuente de 3.3V de la tarjeta Nexys2, o a la entrada del bus de

alimentación (VU). Si el puente esta configurado en VU y la alimentación USB

esta controlando el bus de alimentación principal, se debe tener cuidado para que

no más de 200mA sea consumido por el PMod. Además, si el puente esta

configurado a VU, una fuente de voltaje conectada al Pmod puede conectarse al

bus de alimentación principal de la Nexys2, por lo que se debe tener cuidado para

evitar un conflicto en la conexión de fuentes de alimentación. Los pines de salida

para los conectores Pmod se presentan en la tabla.

♦ Conector de Expanción

La tarjeta Nexys2 incluye un conector de alta densidad de 100 pines Hirose FX-2

para conectar tarjetas externas con velocidades de señal de reloj mayores a

100MHz. Algunas señales del conector son enrutadas a la FPGA como pares

diferenciales, y 47 pines del conector estan ligadas a tierra lo que resulta en un

sistema de conexión de muy bajo nivel de ruido. Todas las señales enrutadas

desde la FPGA hasta el conector FX-2 incluyen resistencias de 75Ohm en serie.

En la Tabla a continuación se muestran todas las conexiones de señal entre la

FPGA y el conector FX-2. Las señales sin las entradas correspondientes en la

columna FPGA no estan conectadas directamente a la FPGA.

Asignación de pines del conector Hirose FX2

J1A Nombre FPGA J1B Nombre FPGA

1 VCC3V3 1 SHIELD

2 VCC3V3 2 GND

3 TMS D15 3 TDK-ROM

4 JTSEL 4 TCK A17

5 TDO-FX2 5 GND

6 FX2-IO1 B4 6 GND

7 FX2-IO2 A4 7 GND

8 FX2-IO3 C3 8 GND

Page 20: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 20 Dto de Electricidad y Electrónica UFPS [email protected]

Asignación de pines del conector Hirose FX2

J1A Nombre FPGA J1B Nombre FPGA

9 FX2-IO4 C4 9 GND

10 FX2-IO5 B6 10 GND

11 FX2-IO6 D5 11 GND

12 FX2-IO7 C5 12 GND

13 FX2-IO8 F7 13 GND

14 FX2-IO9 E7 14 GND

15 FX2-IO10 A6 15 GND

16 FX2-IO11 C7 16 GND

17 FX2-IO12 F8 17 GND

18 FX2-IO13 D7 18 GND

19 FX2-IO14 E8 19 GND

20 FX2-IO15 E9 20 GND

21 FX2-IO16 C9 21 GND

22 FX2-IO17 A8 22 GND

23 FX2-IO18 G9 23 GND

24 FX2-IO19 F9 24 GND

25 FX2-IO20 D10 25 GND

26 FX2-IO21 A10 26 GND

27 FX2-IO22 B10 27 GND

28 FX2-IO23 A11 28 GND

29 FX2-IO24 D11 29 GND

30 FX2-IO25 E10 30 GND

31 FX2-IO26 B11 31 GND

32 FX2-IO27 C11 32 GND

33 FX2-IO28 E11 33 GND

34 FX2-IO29 F11 34 GND

35 FX2-IO30 E12 35 GND

Page 21: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 21 Dto de Electricidad y Electrónica UFPS [email protected]

Asignación de pines del conector Hirose FX2

J1A Nombre FPGA J1B Nombre FPGA

36 FX2-IO31 F12 36 GND

37 FX2-IO32 A13 37 GND

38 FX2-IO33 B13 38 GND

39 FX2-IO34 E13 39 GND

40 FX2-IO35 A14 40 GND

41 FX2-IO36 C14 41 GND

42 FX2-IO37 D14 42 GND

43 FX2-IO38 B14 43 GND

44 FX2-IO39 A16 44 GND

45 FX2-IO40 B16 45 GND

46 GND 46 FX2-CLKIN B9

47 FX2-CLKOUTCND D9 47 GND

48 GND 48 FX2-CLKIO M9

49 VCCFX2 49 VCC-FX2

50 VCCFX2 50 SHIELD

6. CONCLUSIONES

Page 22: LAB_N°2_ Nexys2.pdf

UNIVERSIDAD FRANCISCO DE PAULA SANTANDER INGENIERÍA ELECTRÓNICA

LABORATORIO N° 1 DISEÑO DIGITAL

ING. JHON JAIRO RAMÍREZ 22 Dto de Electricidad y Electrónica UFPS [email protected]

7. BIBLIOGRAFÍA REFERENCE MANUAL, Diligent Nexys2 Board. July 11,2011